HDMI Intel® Arria 10 FPGA IP设计示例用户指南

ID 683156
日期 5/12/2021
Public
文档目录

2.13. 仿真测试台

仿真测试台仿真HDMI TX串行环回到RX core。
注: Include I2C参数使能的设计不支持仿真测试台。
图 19.  HDMI Intel® FPGA IP仿真测试台结构图
表 24.  测试台组件
组件 说明
视频TPG 视频测试码型生成器(TPG)提供视频刺激。
音频样本生成 音频样本生成器提供音频样本刺激。生成器生成要通过音频通道传输的递增测试数据码型。
辅助样本生成 辅助样本生成器提供辅助样本刺激。生成器生成要从发送器发送的固定数据。
CRC检查 此检查器验证TX收发器恢复的时钟频率是否与所需的数据速率匹配。
音频数据检查 音频数据检查比较递增的测试数据码型是否被正确接收和解码。
辅助数据检查 辅助数据检查比较接收器侧是否正确接收和解码预期的辅助数据。

HDMI仿真测试台执行以下验证测试:

HDMI特性 验证
视频数据
  • 测试台对输入和输出视频执行CRC检查。
  • 它根据接收到的视频数据中计算的CRC来检查传输数据的CRC值。
  • 然后,测试台在检测到来自接收器的4个稳定的V-SYNC信号后执行检查。
辅助数据
  • 辅助样本生成器生成要从发送器发送的固定数据。
  • 在接收器侧,生成器比较预期的辅助数据是否被正确接收和解码。
音频数据
  • 音频样本生成器生成要通过音频通道传输的递增测试数据码型。
  • 在接收器侧,音频数据检查器检查和比较递增的测试数据码型是否被正确接收和解码。

一个成功的仿真以以下消息结束:

# SYMBOLS_PER_CLOCK 	= 2
# VIC               	= 4
# FRL_RATE          	= 0
# BPP               	= 0
# AUDIO_FREQUENCY (kHz)  = 48
# AUDIO_CHANNEL     	= 8
# Simulation pass
表 25.   HDMI Intel® FPGA IP设计示例支持的仿真器
仿真器 Verilog HDL VHDL
ModelSim* - Intel® FPGA Edition/ ModelSim* - Intel® FPGA Starter Edition Yes Yes
VCS* / VCS* MX Yes Yes
Riviera-PRO* Yes Yes
NCSim Yes No
Xcelium* Parallel Yes No