GPIO Intel® FPGA IP用户指南: Intel® Arria® 10和Intel® Cyclone® 10 GX器件

ID 683136
日期 10/01/2019
Public
文档目录

GPIO Intel® FPGA IP参数设置

可以在 Intel® Quartus® Prime软件中对GPIO IP核进行参数设置。有三组选项:GeneralBufferRegisters
表 9.   GPIO IP Core参数 - General(通用)
参数 条件 允许值 说明
Data Direction
  • Input
  • Output
  • Bidir

指定GPIO的数据方向。

Data width

1至128

指定数据宽度。

Use legacy top-level port names
  • On
  • Off

使用与 Stratix® V Arria® V Cyclone® V器件中相同的端口名称。

例如:dout成为dataout_hdataout_l,以及din成为datain_hdatain_l

注: 相比在 Stratix® V Arria® V Cyclone® V器件中,这些端口的行为会有所不同。 有关移植指南,请参阅相关信息。
表 10.   GPIO IP Core参数 - Buffer(缓冲器)
参数 条件 允许值 说明
Use differential buffer
  • On
  • Off

如果启用,则使能差分I/O缓冲器。

Use pseudo differential buffer
  • Data Direction = Output
  • Use differential buffer = On
  • On
  • Off

如果在输出模式下启用,则使能伪差分输出缓冲器。

如果启用Use differential buffer,则该选项将双向模式自动启用。

Use bus-hold circuitry
  • Data Direction = Input or Bidir
  • Use differential buffer = Off
  • On
  • Off

如果开启,则总线保持电路可将I/O管脚上的信号微弱保持为其最后驱动的状态,其中输出缓冲器状态将会是1或0,但非高阻抗。

Use open drain output
  • Data Direction = Output or Bidir
  • Use differential buffer = Off
  • On
  • Off

如果开启,则开漏输出将器件使能以提供系统级的控制信号,例如,可经系统中多个器件置位的中断和写入使能信号。

Enable output enable port Data Direction = Output
  • On
  • Off

如果开启,则使能用户输入到OE端口。该选项自动对双向模式开启。

Enable seriestermination / paralleltermination ports
  • On
  • Off

如果开启,则使能输出缓冲的seriesterminationcontrolparallelterminationcontrol端口。

表 11.   GPIO IP Core参数 - Registers(寄存器)
参数 条件 允许值 说明
Register mode
  • None
  • Simple register
  • DDIO
指定GPIO IP核的寄存器模式:
  • None — 指定一个从/至缓冲器的简单线缆连接。
  • Simple register — 指定DDIO在单数据速率模式(SDR)中用作简单寄存器。Fitter可能会将该寄存器封装在I/O中。
  • DDIO — 指定IP核使用DDIO。
Enable synchronous clear / preset port
  • Register mode = DDIO
  • None
  • Clear
  • Preset

指定如何实现同步复位端口。

  • None — 禁用同步复位端口。
  • Clear — 使能SCLR端口用于同步清除。
  • Preset — 使能SSET端口用于同步预置。
Enable asynchronous clear / preset port
  • Register mode = DDIO
  • None
  • Clear
  • Preset

指定如何实现异步复位端口。

  • None — 禁用异步复位端口。
  • Clear — 使能ACLR端口用于异步清除。
  • Preset — 使能ASET端口用于异步预置。

ACLRASET信号为高有效电平。

Enable clock enable ports Register mode = DDIO
  • On
  • Off
  • On — 显现时钟使能(CKE)端口以支持对数据clock in(时钟脉冲输入)或clock out(时钟脉冲输出)的控制。该信号防止数据在无控制的情况下进行传递。
  • Off — 时钟使能端口未显现,且数据始终自动传递到寄存器。
Half Rate logic Register mode = DDIO
  • On
  • Off
如果开启,则使能半速率DDIO。
Separate input / output Clocks
  • Data Direction = Bidir
  • Register mode = Simple register or DDIO
  • On
  • Off
如果开启,则使能用于双向模式下输入和输入路径的独立时钟(CK_INCK_OUT)。