GPIO Intel® FPGA IP用户指南: Intel® Arria® 10和Intel® Cyclone® 10 GX器件

ID 683136
日期 10/01/2019
Public
文档目录

单数据速率输出寄存器

图 15. 单数据速率输出寄存器


表 16.  单数据速率输出寄存器.sdc命令实例
命令 命令实例 说明
create_clockcreate_generated_clock

create_clock -name sdr_out_clk -period "100 MHz” sdr_out_clk

create_generated_clock -source sdr_out_clk -name sdr_out_outclk sdr_out_outclk

生成源时钟和输出时钟以进行发送。
set_output_delay set_output_delay -clock sdr_out_clk 0.45 sdr_out_data 指示Timing Analyzer针对要发送的输出时钟分析要发送的输出数据。