Intel® Quartus® Prime Standard Edition用户指南: 入门

ID 683475
日期 12/16/2019
Public
文档目录

4.11. 以HDL例化IP核

通过调用IP核名称并声明IP核参数,可直接例化HDL代码的IP核。该方法类似于例化其他模块,组件或子设计。 例化VHDL的IP核时,必须包含相关联的库。