Intel® Quartus® Prime Standard Edition用户指南: 入门

ID 683475
日期 12/16/2019
Public
文档目录

5.4. 升级不合规设计RTL

Intel® Quartus® Prime Pro Edition软件采用新型综合引擎(quartus_syn可执行文件)。

quartus_syn综合强制执行更严格的业界标准HDL结构,且本发布中支持如下增强功能:

  • 支持带有SystemVerilog接口的模块
  • 改善了对VHDL2008的支持
  • 新型RAM推理引擎从GENERATE声明或整数阵列推断RAM。
  • 更加严格的句法/语义检查有助于提高与其他EDA工具的兼容性。

通过确保设计使用合规的VHDL,Verilog HDL或SystemVerilog,来解决现有RTL代码中的综合差异。处理不合规RTL时,Compiler生成错误。使用本小节中的指导修改现有RTL,取得与 Intel® Quartus® Prime Pro Edition综合的兼容性。