Intel® Quartus® Prime Standard Edition用户指南: 入门

ID 683475
日期 12/16/2019
Public
文档目录

5.4.6. 删除非标准编译指令

Intel® Quartus® Prime Pro Edition不支持vhdl(verilog)_input_version编译指令( pragma)或library编译指令(pragma)。 其他Quartus软件产品中的综合支持这些编译指令。请从用于 Intel® Quartus® Prime Pro Edition移植的RTL中删除所有在使用的编译指令。请使用如下指导实现 Intel® Quartus® Prime Pro Edition中的编译指令功能:
  • vhdl(verilog)_input_version Pragma—允许更改输入文件中间部分的输入版本。例如,将VHDL 1993更改为VHDL 2008。向 Intel® Quartus® Prime Pro Edition移植时,请为.qsf中的每个文件指定输入版本。
  • library Pragma—允许对编译文件中VHDL库的更改。向 Intel® Quartus® Prime Pro Edition移植时,请指定.qsf中的编译库。