Intel® Quartus® Prime Standard Edition用户指南: 入门

ID 683475
日期 12/16/2019
Public
文档目录

5.4.8. 限制SystemVerilog文件的SystemVerilog功能

Intel® Quartus® Prime Pro Edition综合不允许Verilog HDL文件中的SystemVerilog功能。 其他Quartus软件产品允许使用Verilog HDL(.v)设计文件中SystemVerilog(.sv)功能的子集。为避免 Intel® Quartus® Prime Pro Edition中出现句法错误,请仅使用Verilog HDL文件中的SystemVerilog功能。
要在当前Verilog HDL文件中使用SystemVerilog功能,请将Verilog HDL(.v)文件重命名为 SystemVerilog(.sv)文件。或者,将.qsf中的文件类型按如下实例进行设置:
set_global_assignment -name SYSTEMVERILOG_FILE <file>.v
表 23.  SystemVerilog功能差异
其他Quartus软件产品 Intel® Quartus® Prime Pro Edition
对于Example RTL,即使Verilog LRM未定义$clog2功能,综合也会判读.v文件中的$clog2。其他Quartus软件产品允许.v文件中的其他SystemVerilog功能。 对于Example RTL,在.v文件中检测到任何非Verilog HDL结构时,综合都会生成句法错误。 Intel® Quartus® Prime Pro Edition综合仅实现.sv文件中的 SystemVerilog功能。

Example RTL:

localparam num_mem_locations = 1050;
wire mem_addr [$clog2(num_mem_locations)-1 : 0];