Intel® Quartus® Prime Standard Edition用户指南: 调试工具

ID 683552
日期 9/24/2018
Public
文档目录

8. 使用In-System Sources and Probes进行设计调试

Signal Tap Logic Analyzer and Signal Probe使您能够在运行时读取或“tap”内部逻辑信号,作为调试逻辑设计的一种方法。

传统的调试技术通常涉及使用外部码型生成器来执行逻辑,并使用逻辑分析仪来研究运行期间的输出波形。

手动驱动设计中的任何内部信号时都可以使调试周期更有效,这使您能够执行以下操作:

  • 强制在Signal Tap Logic Analyzer中设置的触发条件的发生
  • 创建简单的测试向量来进行设计,而无需使用外部测试设备
  • 通过JTAG链动态控制运行时控制信号

Intel® Quartus® Prime软件中的In-System Sources and Probes Editor扩展了验证更加的范围, 使您能够轻松控制任何内部信号,并提供完全动态的调试环境。与Signal Tap Logic Analyzer或者Signal Probe一起使用,In-System Sources and Probes Editor可提供了一个强大的调试环境,在此调试环境中可以产生促进因素并获得逻辑设计的响应。

Virtual JTAG IP core和In-System Memory Content Editor还使您能够将虚拟输入驱动到设计中。 Intel® Quartus® Prime软件提供了多种片上调试工具。

In-System Sources and Probes Editor由ALTSOURCE_PROBE IP内核和一个在运行时控制ALTSOURCE_PROBE IP内核实例的接口组成。每个ALTSOURCE_PROBE IP内核实例都提供源输出端口和探针输入端口,其中源端口驱动所选信号,而探针端口采样所选信号。编译设计时,ALTSOURCE_PROBE IP内核会建立一个寄存器链,以驱动或采样逻辑设计中的选定节点。在运行期间,In-System Sources and Probes Editor使用JTAG连接在ALTSOURCE_PROBE IP内核实例之间来回移动数据。下图显示了组成In-System Sources and Probes Editor的组件的框图。
图 100. In-System Sources and Probes Editor框图


ALTSOURCE_PROBE IP内核隐藏了JTAG控制器和设计中所用寄存器之间的详细传输,从而为您提供了一个仿真和探究设计的基本构建模块。此外,In-System Sources and Probes Editor还提供了单周期样本和对选定逻辑节点的单周期写入。您可以使用此功能输入简单的虚拟激励因素并采集已检测节点上的当前值。由于In-System Sources and Probes Editor使您能够访问设计中的逻辑节点,因此可以在调试过程中切换低级组件的输入。如果与Signal Tap Logic Analyzer一起使用,那么能够强制触发条件以帮助隔离问题并缩短调试过程。

In-System Sources and Probes Editor使您能够轻松地将设计中的控制信号实现为虚拟激励因素(virtual stimuli)。此功能对于原型设计特别有用,例如以下操作:

  • 创建虚拟按钮
  • 创建虚拟前面板以与设计进行交互
  • 仿真外部传感器数据
  • 实时监控和更改运行时常量

In-System Sources and Probes Editor支持Tcl命令,此命令与所有ALTSOURCE_PROBE IP core实例连接,以提高自动化水平。