MAX 10嵌入式乘法器用户指南

ID 683467
日期 2/21/2017
Public
文档目录

4.2. 信号

表 7.  LPM_MULT输入信号
信号名称 需要与否 说明
dataa[] Yes 数据输入。

输入信号的大小取决于LPM_WIDTHA参数的值。

datab[] Yes 数据输入。

输入信号的大小取决于LPM_WIDTHB参数的值。

clock No 流水线使用的时钟输入。

ForLPM_PIPELINE values other than 0 (default), the clock signal must be enabled.

clken No 流水线使用的时钟使能。当clken信号被置位高电平时,开始加法器/减法器操作。当该信号为低时,没有操作。如果省略,默认值是1
aclr No 异步清零信号随时用于将流水线时钟信号异步复位成全0。流水线初始化到一个未定义的(X)逻辑电平。输出是一致的,但为非0值。
sclr No 同步清零信号随时用于将流水线时钟信号同步复位成全0。流水线初始化到一个未定义的(X)逻辑电平。输出是一致的,但为非0值。
表 8.  LPM_MULT输出信号
信号名称 需要与否 说明
result[] Yes 数据输出。

For Stratix V, Arria V and Cyclone V, the size of the output signal depends on the LPM_WIDTHP parameter value. If LPM_WIDTHP < max (LPM_WIDTHA + LPM_WIDTHB, LPM_WIDTHS) or (LPM_WIDTHA + LPM_WIDTHS), only the LPM_WIDTHP MSBs are present.