用于 PCI Express* 的 英特尔® FPGA R-tile Avalon® Streaming IP设计实例用户指南

ID 683544
日期 4/10/2023
Public
文档目录

2.3. 仿真设计实例

仿真建立涉及到使用Root Port Bus Functional Model (BFM)来训练用于PCIe的Intel FPGA R-tile Avalon® Streaming IP (DUT),如下图所示。

图 17. PIO设计实例仿真测试台
图 18. SR-IOV设计实例仿真测试台

有关测试台及其内部模块的更多详细描述,请参阅测试台。此外,针对Root Port BFM的更多详细信息,请参阅Root Port BFM(根端口BFM)部分。

以下流程图显示仿真设计实例的步骤:

图 19. 过程
注: R-tile不支持并行PIPE仿真。

下图显示Gen5 x16 Endpoint仿真的链路状态信息:

图 20. Gen5 x16 Endpoint仿真的链路状态

仿真成功后,simulation.log文件包含"successful completion”消息。

该测试台最高可仿真Gen5 x16变体。