MAX 10嵌入式存储器用户指南

ID 683431
日期 11/02/2015
Public
文档目录

5.3. MAX® 10器件的RAM: 2-Port IP内核参数

表 19.   MAX 10器件的RAM: 2-Port IP内核参数 该表列出了适用于 MAX® 10器件的IP内核参数。
选项 合法值 说明
参数设置:General
How will you be using the dual port RAM?
  • With one read port and one write port
  • With two read/write ports
指定您如何使用双端口RAM。
How do you want to specify the memory size?
  • As a number of words
  • As a number of bits
确定使用字还是比特来指定存储器容量。
参数设置: Widths/ Blk Type
How many <X>-bit words of memory? 指定<X>-位字数。
在不同端口上使用不同的数据宽度 On/Off 指定是否在不同端口上使用不同的数据宽度。
读/写端口 当您选择With one read port and one write port时,下列选项可用:
  • How wide should the ‘data_a’ input bus be?
  • How wide should the ‘q’ output bus be?
1、2、3、4、5、6、7、8、9、16、18、32、36、64、72、108、128、144、256和288 指定输入和输出端口的宽度。

只有当您打开Use different data widths on different ports参数时,How wide should the ‘q’ output bus be?How wide should the ‘q_b’ output bus be?选项才可用。

当您选择 With two read/write ports时,下列选项可用:
  • How wide should the ‘q_a’ output bus be?
  • How wide should the ‘q_b’ output bus be?
存储器模块类型应该是什么?
  • Auto
  • M9K
  • LC
指定存储器模块类型。可供选择的存储器模块类型取决于目标器件。

LCs值只有在下列情况中可用:

  • 打开With one read port and one write port选项
  • 关闭 Use different data widths on different ports 选项。
选项 How should the memory be implemented?
  • 使用默认逻辑单元样式
  • 使用Stratix M512仿真逻辑单元风格
指定逻辑单元实现选项。只有当您选择LCs存储器类型时,该选项才被使能。
设置最大模块深度为
  • Auto
  • 128
  • 256
  • 512
  • 1024
  • 2048
  • 4096
  • 8192
使用字指定最大模块深度。
参数设置:Clks/Rd, Byte En
想要使用什么时钟方式? 当您选择With one read port and one write port时,下列值可用:
  • 单时钟
  • 双时钟:使用单独的‘输入’和‘输出’时钟
  • 双时钟:使用单独的‘读’和‘写’时钟
当您选择With two read/write ports时,下列选项可用:
  • 单时钟
  • 双时钟:使用单独的‘输入’和‘输出’时钟
  • 双时钟:对A和B端口使用单独的时钟
指定要使用的时钟方法。
  • 单时钟—一个单时钟和时钟使能控制存储器模块的所有寄存器。
  • 双时钟:使用单独的‘输入’和‘输出’时钟—输入和输出时钟控制与存储器模块数据输入和输出相关的所有寄存器,包括数据、地址、字节使能、读使能和写使能。
  • 双时钟:使用单独的‘读’和‘写’时钟—写时钟控制数据输入、写地址和写使能寄存器而读时钟控制数据输出、读地址和读使能寄存器。
  • 双时钟:对A和B端口使用单独的时钟—时钟A控制端口A侧上的所有寄存器,而时钟B控制端口B侧上的所有寄存器。每个端口均支持端口A和端口B寄存器的独立时钟使能。
Create a ‘rden’ read enable signal On/Off 当您选择With one read port and one write port选项时可用。
Create a ‘rden_a’ and ‘rden_b’ read enable signal On/Off
  • 当您选择With two read/write ports选项时可用。
  • 指定是否对端口A和B创建一个读使能信号。
字节使能端口 对端口A创建字节使能 On/Off 指定是否对端口A和B创建一个字节使能。如果您想要屏蔽输入数据,那么打开这些选项,以便只有指定的数据的字节、半字节或比特被写入。
参数设置: Regs/Clkens/Aclrs  
哪些端口应该被寄存?

当您选择With one read port and one write port时,下列选项可用:

  • Write input ports ‘data_a’, ‘wraddress_a’, and ‘wren_a’
  • Read input ports 'rdaddress' and 'rden'
  • Read output port(s) ‘q_a’ and 'q_b'
当您选择With two read/write ports时,下列选项可用:
  • Write input ports ‘data_a’, ‘wraddress_a’, and ‘wren_a’ write input ports
  • Read output port(s) ‘q’_a and ‘q_b’
On/Off 指定是否寄存读或写输入和输出端口。
更多选项 当您选择With one read port and one write port时,以下选项可用:
  • ‘q_b’ port
当您选择With two read/write ports时,以下选项可用:
  • ‘q_a’ port
  • ‘q_b’ port
On/Off 读和写输入端口被默认为打开。您只需要指定是否寄存Q输出端口。
对每个时钟信号创建一个时钟使能信号。 On/Off 指定是否打开选项以对每个时钟信号创建一个时钟使能信号。
更多选项 当您选择With one read port and one write port时,下列选项可用:
  • 时钟使能选项
    • 时钟使能选项:Use clock enable for write input registers
  • 地址选项
    • Create an ‘wr_addressstall’ input port.
    • Create an ‘rd_addressstall’ input port.
当您选择With two read /write ports时,下列选项可用:
  • 时钟使能选项
    • Use clock enable for port A input registers
    • Use clock enable for port A output registers
  • 地址选项
    • Create an ‘addressstall_a’ input port.
    • Create an ‘addressstall_b’ input port.
On/Off
  • 时钟使能选项—端口B输入和输出寄存器的时钟使能默认为被打开。您只需要指定是否将时钟使能用于端口A输入和输出寄存器。
  • 地址选项—指定是否对地址寄存器创建时钟使能。您可以创建这些端口作为地址寄存器的额外低有效时钟使能输入。
Create an ‘aclr’ asynchronous clear for the registered ports. On/Off 指定是否对寄存的端口创建一个异步清零端口。
更多选项 当您选择With one read port and one write port时,下列选项可用:
  • ‘rdaddress’ port
  • ‘q_b’ port
当您选择With two read /write ports时,下列选项可用:
  • ‘q_a’ port
  • ‘q_b’ port
On/Off 指定aclr端口是否清除raddressq_aq_b端口
参数设置:输出1
Mixed Port Read-During-Write for Single Input Clock RAM 当您选择With one read port and one write port时,下列选项可用:
  • How should the q output behave when reading a memory location that is being written from the other port?
当您选择With two read /write ports时,下列选项可用:
  • How should the q_a and q_b outputs behave when reading a memory location that is being written from the other port?
  • 旧存储器内容显示
  • I do not care (the outputs will be undefined)
指定read-during-write时的输出行为。
  • Old memory contents appear—RAM输出显示了写操作开始前该地址上的旧数据。
  • I do not care—This option functions differently 当您根据所选的以下的存储器模块类型打开该选项时,这个选项实现不同的功能:
    • 当您将存储器模块类型设置为AutoM9K时,RAM输出read-during-write操作的‘don't care’或“unknown”值,而无需分析时序路径。
Do not analyze the timing between write and read operation. Metastability issues are prevented by never writing and reading at the same address at the same time. On/Off 当您打开I do not care (The outputs will be undefined)选项时,该选项被自动打开。该选项使 RAM能够输出read-during-write操作的‘don’t care’或'unknown'值,而无需分析时序路径。
参数设置:输出2(只有选择两个读/写端口时,该标签才可用)
Port A Read-During-Write选项 What should the ‘q_a’ output be when reading from a memory location being written to?
  • 新数据
  • 旧数据
指定read-during-write时的输出行为。
  • New Data—在相同时钟周期的上升沿写入新数据,并且可以在该上升沿使用这些数据。
  • Old Data—RAM输出显示了写操作开始前该地址上的旧数据。
Port B Read-During-Write选项 What should the ‘q_b’ output be when reading from a memory location being written to?
Get x’s for write masked bytes instead of old data when byte enable is used On/Off 当您选择New Data值时,选项会自动打开。该选项在屏蔽字节上获得‘X’。
参数设置:Mem Init
Do you want to specify the initial content of the memory?
  • No, leave it blank
  • Yes, use this file for the memory content data
指定存储器的初始内容。
  • 要将存储器初始化为零, 选择No, leave it blank
  • 要使用存储器初始化文件一个(.mif)或一个十六进制(Intel-format)文件(.hex), 选择Yes, use this file for the memory content data
注: 您器件的配置方案为内部配置。为了使用存储器初始化,您必须选择一个存储器初始化的单映像配置模式,例如存储器初始化的单压缩映像选项。您可以在Device and Pin Options对话框的配置页面设置配置模式。
The initial content file should conform to which port's dimension?
  • PORT_A
  • PORT_B
指定初使内容文件应该与哪个端口的尺寸保持一致。