Intel® Cyclone® 10 GX器件系列管脚连接指南

下载 PDF
ID 683417
日期 11/06/2017
Public

外部存储器接口管脚

注: Intel® 建议您创建一个 Intel® Quartus® Prime设计,输入器件I/O约束并编译设计。 Intel® Quartus® Prime软件将会根据I/O约束和布局规则检查您的管脚连接性。根据器件密度、封装、I/O约束、电压分配和本文档或器件手册未详细说明的其它因素,这些规则因不同的器件会有所不同。
表 5.  外部存储器接口管脚
管脚名称 管脚功能 管脚说明 连接指南
DQS[#] I/O,双向 用于外部存储器连接的可选数据选通信号。这些管脚驱动到专用的DQS相移电路。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
DQSn[#] I/O,双向 用于外部存储器连接的可选补充数据选通信号。这些管脚驱动到专用的DQS相移电路。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
DQ[#] I/O,双向 用于外部存储器连接的可选数据信号。指定DQ总线内DQ位的顺序并不重要。不过,如果计划移植具有不同DQ总线宽度的不同存储器接口,则需要重新评估管脚约束。对管脚列表中所有相关DQS列的DQ管脚进行分析。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
DQS[#]_[#] I/O,双向 用于外部存储器连接的可选数据选通信号。这些管脚驱动到专用的DQS相移电路。移位后的DQS信号也能驱动到内部逻辑。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
DQSn[#]_[#] I/O,双向 用于外部存储器连接的可选补充数据选通信号。这些管脚驱动到专用的DQS相移电路。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
DQ[#]_[#]_[#] I/O,双向 用于外部存储器连接的可选数据信号。指定DQ总线内DQ位的顺序并不重要。不过,如果计划移植具有不同DQ总线宽度的不同存储器接口,则需要重新评估管脚约束。对管脚列表中所有相关DQS列的DQ管脚进行分析。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
DM[#]_[#] I/O,输出 可选的写数据屏蔽、在写入期间与DQ边沿对齐。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
RESET_N_0 I/O,输出 低电平有效复位信号。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
A_[#] I/O,输出 DDR3 SDRAM的地址输入。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
BA_[#] I/O,输出 DDR3 SDRAM的bank地址输入。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
CK_[#] I/O,输出 外部存储器件的输入时钟。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
CK_N_[#] I/O,输出 外部存储器件的输入时钟,反转的CK。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
CKE_[#] I/O,输出 高信号使能时钟,低信号禁用时钟。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
CS_N_[#] I/O,输出 有效低电平片选。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
CA_[#]_[#] I/O,输出 LPDDR3 SDRAM的命令和地址输入。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
ODT_[#] I/O,输出 设置每个管脚的匹配电阻的片上匹配信号。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
WE_N_0 I/O,输出 DDR3 SDRAM的写使能输入(write-enable)以及所有支持的协议。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
CAS_N_0 I/O,输出 DDR3 SDRAM的列地址选通。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
RAS_N_0 I/O,输出 DDR3 SDRAM的行地址选通。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
ALERT_N_0 I/O,输入 警报输入,表明系统的存储控制器出现了特定的警报或者事件。

按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。

PAR_0 I/O,输出 奇偶校验输出的命令和地址。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
CFG_N_0 I/O,输出 配置位。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。
LBK[#]_N_0 I/O,输出 Loop-back模式。 按照 Intel® Quartus® Prime软件中的定义连接未使用的管脚。