Avalon® 接口规范

ID 683091
日期 5/27/2021
Public
文档目录

3.1. Avalon® 存储器映射的接口简介

您可以使用 Avalon® Memory-Mapped ( Avalon® -MM)接口实现主从组件的读写接口。以下是通常包含存储器映射接口的组件示例:
  • 微处理器
  • 储存器
  • UART
  • DMA
  • 计时器(Timer)

Avalon® -MM接口,有的简单有的复杂。例如,SRAM接口有固定周期的读写传输,具有简单的 Avalon® -MM接口。能够进行突发传输的流水线接口(pipelined interface)很复杂。

图 5. 关注 Avalon® -MM Agent传输下图显示了一个典型的系统,突出显示了 Avalon® -MM从接口与互连(interconnect)架构的连接。

Avalon® -MM组件通常仅包括组件逻辑所需的信号。

图 6. 举例从组件下图中显示的16-bit通用I/O外设仅响应写请求。此组件仅包含写传输所需的Agent信号。

Avalon® -MM从组件中的每个信号对应于一个 Avalon® -MM信号角色。一个 Avalon® -MM接口仅使用每个信号角色的一个实例。