文章 ID: 000074766 内容类型: 故障排除 上次审核日期: 2016 年 05 月 02 日

为什么我的 PLL 输出在 TimeQuest 时序分析器中具有不正确的相移?

环境

  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    说明

    由于 Quartus® II 软件存在问题,TimeQuest 时序分析器可能会计算出 PLL 输出时钟的错误相移。在 PLL 参考时钟上进行非零相移时,Arria® V、Cyclone® V 和 Stratix® V 设计 derive_pll_clocks 中会出现此问题。

    解决方法

    要变通解决此问题,执行以下操作之一:

    • 使用输出时钟的相移设置,而不是 PLL 中的参考时钟相移。
    • 使用约束来 create_generated_clock 约束 PLL 输出,而不是使用 derive_pll_clocks.

    相关产品

    本文适用于 15 产品

    Arria® V GX FPGA
    Arria® V GT FPGA
    Cyclone® V E FPGA
    Stratix® V E FPGA
    Cyclone® V SE SoC FPGA
    Arria® V SX SoC FPGA
    Cyclone® V ST SoC FPGA
    Arria® V ST SoC FPGA
    Cyclone® V GX FPGA
    Stratix® V GT FPGA
    Cyclone® V SX SoC FPGA
    Cyclone® V GT FPGA
    Stratix® V GX FPGA
    Arria® V GZ FPGA
    Stratix® V GS FPGA

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。