Intel® Quartus® Prime Pro Edition软件快速入门

ID 683769
日期 10/22/2018
Public

第一步:创建设计

Intel® Quartus® Prime软件组织并管理project内的设计单元。 该工程中封装有关设计分层,库,约束和工程设置信息。New Project Wizard有助于快速建立并创建新的设计工程。
图 1. New Project Wizard


通过New Project Wizard创建工程:
  1. Intel® Quartus® Prime Pro Edition主窗口中,点击File > New Project Wizard
  2. 指定工程目录,名称和顶层实体。
  3. 添加设计文件和库。
  4. 指定 Intel® FPGA器件或 Intel® FPGA Development Kit/Board。
  5. 指定Design Entry/Synthesis,仿真和板级工具。
    • 对于 Intel® Quartus® Prime Synthesis,请选择<None>
  6. 查看工程设置并点击Finish
New Project Wizard生成工程后,可使用全功能原理图和 Intel® Quartus® Prime 软件提供的文字编辑器创建设计文件。此外,可将您的Intel逻辑设计文件和第三方IP核设计文件组合起来,包括将组件组合成一个Platform Designer系统(.qsys)。