文章 ID: 000085043 内容类型: 产品信息和文件 上次审核日期: 2015 年 01 月 12 日

如何防止 PLL 输出计数器在 英特尔® Stratix®、Arria® V 和 Cyclone® V FPGA 设备中合并在 Quartus® II 12.1 或更高版本中?

环境

  • 英特尔® Quartus® II 软件
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    说明

    在 Quartus® II 版本 12.1 及更高版本中,您可以使用 QSF 变量 UNFORCE_MERGE_PLL_OUTPUT_COUNTER 来防止 PLL 输出计数器合并Stratix® V、Arria® V 或 Cyclone® V 设备。

    解决方法

    以下是向 PLL 输出计数器分配的一个示例:

    set_instance_assignment -name UNFORCE_MERGE_PLL_OUTPUT_COUNTER ON -to“mypll:inst mypll_0002:mypll_inst|altera_pll:altera_pll_i*”

    相关产品

    本文适用于 15 产品

    Cyclone® V SX SoC FPGA
    Stratix® V E FPGA
    Cyclone® V GT FPGA
    Stratix® V GX FPGA
    Stratix® V GT FPGA
    Cyclone® V GX FPGA
    Stratix® V GS FPGA
    Arria® V GZ FPGA
    Arria® V SX SoC FPGA
    Cyclone® V ST SoC FPGA
    Arria® V ST SoC FPGA
    Arria® V GX FPGA
    Arria® V GT FPGA
    Cyclone® V E FPGA
    Cyclone® V SE SoC FPGA

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。