eCPRI Intel® FPGA IP 用户指南

ID 683685
日期 12/14/2021
Public
文档目录

8. eCPRI Intel® FPGA IP用户指南的文档修订历史

文档版本 Intel® Quartus® Prime软件版本 IP版本 修订内容
2021.12.14 21.3 1.4.1
  • 更正了Configuration Avalon® Memory-Mapped Interface 的信号描述。
  • 更正了Ethertype RegisterUDP Port Register的寄存器描述。
  • 更正了 Intel® Quartus® Prime software version 21.2的IP版本。
2021.11.11 21.2 1.4.0 eCPRI IP Sink InterfaceIP Parameters部分中阐明了有关流模式的信息。
2021.10.01 21.2 1.4.0
  • 增添了对 Intel® Agilex™ F-tile器件的支持。
  • 添加了对多通道设计的支持。关于详细信息,请参考eCPRI Intel FPGA IP Design Example User Guide
  • 删除了对NCSim的支持。
2021.02.26 20.4 1.3.0
  • 增添了对 Intel® Agilex™ E-tile器件的支持。
  • 更新了以下信号描述:
    • tx_egress_timestamp_96b_fingerprint
    • ptp_timestamp_request_fingerprint
  • External ST Sink Interface部分中添加了以下信号:
    • ext_ptp_timestamp_request_fingerprint
    • ext_tx_egress_timestamp_96b_fingerprint
2021.01.08 20.3 1.2.0
  • IP现在支持互通功能(IWF)类型0。
  • 支持eCPRI Intel FPGA IP与O-RAN Intel FPGA IP的配对。
  • Resource Utilization部分中更新了IWF的资源使用数。
  • 针对20.3发布更新了Table: eCPRI Intel FPGA IP Core Release Information
  • 使用新参数更新了Figure: eCPRI IP Parameter Editor
  • 更新了Parameter Settings部分。
  • Interfaces部分中添加了以下新接口:
    • IWF Type 0 eCPRI Source Interface
    • IWF Type 0 eCPRI Sink Interface
    • IWF Type 0 CPRI MAC Interface
  • 更新了Figure: eCPRI Intel FPGA IP High-Level System Overview
  • Operation of the eCPRI IP Blocks部分中添加了两个新模块的描述:
    • eCPRI IWF Type 0
  • eCPRI IP Input Clocks部分中添加了IWF相关的新时钟信号。
  • Table: eCPRI IP Reset, Power, and Firewalls Signals中添加了以下复位信号。
  • 创建了以下新的部分以记录IWF Type 0相关信号:
    • IWF Type 0 eCPRI Interface
    • IWF Type 0 eCPRI MAC Interface
  • 更正了Table: eCPRI Common Header Format中的一个域。
2020.05.19 20.1 1.1.0
  • 增添了对 Intel® Arria® 10器件的支持。
  • IP现在支持 Intel® Stratix® 10 Intel® Arria® 10器件的10G数据速率。
  • IP支持高达9,000字节的Ethernet帧大小的流传输。
  • Supported Features部分中添加了新的Table: eCPRI Intel FPGA IP Feature Matrix
  • 更新了Table: Resource Utilization中的资源使用数。
  • Table: Parameters: Configuration Tab中添加了以下新的参数:
    • Streaming
    • Pair with ORAN
    • One-way Delay Measurement Timer Bit-width
    • Remote Memory Access Timer Bit-width
    • Remote Reset Timer Bit-width
  • 修改了Figure: eCPRI Intel FPGA IP High-Level System Overview以包含客户逻辑。
  • 更新了Section: Supported Ethernet Variants
  • 更新了Section: Error Handling
  • 在以下表中添加了新信号:
    • Table: eCPRI IP Input Clocks
    • Table: Signals of the TX Time of Day Interface
    • Table: Signals of the External ST Sink Interface
    • Table: Signals of the eCPRI IP Sink Interface
  • 添加了新的Table: Miscellaneous Interface Signals
  • 更新了以下寄存器表:
    • Table: eCPRI Version Register at Offset 0x000
    • Table: eCPRI TX Error Message Register at Offset 0x0004
    • Table: eCPRI RX Error Message Register at Offset 0x0005
    • Table: eCPRI Error Mask Message Register at Offset 0x0006
    • Table: RX Error Register at Offset 0x003E
2020.04.15 19.4 1.0.0 更正了Table: eCPRI Version Register at Offset 0x000中的信息。
2020.04.13 19.4 1.0.0 首次发布。