英特尔® Quartus® Prime 软件脚本编辑支持

查找有关命令行和工具命令语言 (TCL) 脚本设计流程的全面脚本编辑支持的信息。

Quartus® II

Tcl 脚本应用程序接口 (API) 包含涵盖基本到高级功能的命令。

《Quartus® II 脚本参考手册》 (PDF) 提供所有命令行选项和 Tcl 命令的完整参考。Quartus® II 设置文件参考手册 (PDF) 列出并介绍所有 QSF 设置。

Tcl API 可用于完成以下任务:

  • 创建和管理项目
  • 执行分配
  • 编译设计
  • 提取报告数据
  • 执行时序分析

例如,以下 Tcl 脚本将设计文件用于 <qdesigns> 目录中的fir_filter 教程设计。脚本可以创建项目,制作引脚、时钟和时序分配,并编译设计。
load_package flow
project_new fir_filter -revision filtref -overwrite
set_global_assignment -name FAMILY Cyclone
set_global_assignment -name DEVICE EP1C6F256C6
set_global_assignment -name BDF_FILE filtref.bdf
set_global_assignment -name TOP_LEVEL_ENTITY filtref
#您可以在此处进行其他引脚分配
set_location_assignment -to clk Pin_G1
create_base_clock -fmax "100 MHz" -target clk clocka
create_relative_clock -base_clock clocka -divide 2 \

-offset "500 ps" -target clkx2 clockb

set_multicycle_assignment -from clk -to clkx2 2
execute_flow -compile
project_close
您可以将命令行和 Tcl API 帮助工具用于 Tcl API 命令、描述和示例的完整列表。通过命令提示符输入以下内容,以运行帮助工具:
quartus_sh --qhelp

英特尔® FPGA 提供您可以在自身的设计中学习、修改和使用的 Tcl 设计示例