Timing Analyzer“设置伪路径”命令

author-image

作者

可以使用 set_false_path 命令指定设计的伪路径(即可以在时序分析中忽略的路径)。以下列表显示了 set_false_path 命令的可用选项:

set_false_path
     [-from <from list>]
     [-to <to list>]
     [-thru <thru list>]

表 1 介绍了 set_false_path 命令的选项。

当对象是时序节点时,伪路径仅适用于两个节点之间的路径。当对象是时钟时,伪路径适用于时钟对源节点(-from 选项)或目标节点(-to 选项)进行计时的所有路径。