Timing Analyzer“创建时钟”命令

author-image

作者

可以使用 create_clock 命令在任何寄存器、端口或引脚中创建时钟。创建的每个时钟可以具有独特特性。以下列表显示了 create_clock 命令以及可用选项:

create_clock
     -period <period value>
     [-name <clock name>]
     [-waveform <edge list>]
     [-add]
     <source objects>

表 1 介绍了 create_clock 命令的选项。

注:

  1. Timing Analyzer 中的默认时间单位是纳秒 (ns)。

使用 create_clock 命令定义的时钟的默认源延迟值为 0。Timing Analyzer 自动计算非虚拟时钟的时钟网络延迟。

100 MHz 时钟的创建

此示例展示了如何创建占空比为 50%、应用于端口 clk 的 10 ns 时钟,其中的第一个上升沿在 0 ns 处出现。

create_clock -period 10 -waveform { 0 5 } clk

偏移 90 度的 100 MHz 时钟的创建

此示例展示了如何创建占空比为 50%、相移 90 度、应用于端口 clk_sys 的 10 ns 时钟。

create_clock -period 10 -waveform { 2.5 7.5 } clk_sys