Timing Analyzer 集合命令

author-image

作者

Timing Analyzer 支持集合应用程序接口 (API),可轻松访问设计中的端口、引脚、单元或节点。可以将集合 API 与 Timing Analyzer 中指定的任何有效约束或工具命令语言 (Tcl) 命令配合使用。

表 1 介绍了 Timing Analyzer 支持的集合命令。

有关集合的更多信息,请参阅 "Timing Analyzer" 一章或《SDC 和 Timing Analyzer API 参考手册》(PDF)

以下示例展示了 create_clockcreate_generated_clock 命令与集合命令的各种组合用法。

# 创建一个简单的占用比为 60% 的 10 ns 时钟
create_clock -period 10 -waveform {0 6} -name clk [get_ports clk]
# 以下多周期适用于以 clk 计时的寄存器为终点的
# 所有路径
set_multicycle_path -to [get_clocks clk] 2