Timing Analyzer set_clock_uncertainty 命令

author-image

作者

set_clock_uncertainty 命令为时钟或跨时钟传输指定时钟不确定值或偏移。可以分别为建立时间和保持时间指定不确定值,也可以指定单独的时钟上升沿和下降沿转换。Timing Analyzer 分析器从每个适用路径的数据需求时间中减去建立时间不确定值,并将保持时间不确定值与每个适用路径的数据需求时间相加。

可以使用 set_clock_uncertainty 命令为时钟端口指定任何时钟不确定值。以下列表显示了 set_clock_uncertainty 命令,包括可用选项:

set_clock_uncertainty
     [-from <from clock>]
     [-rise_from <rise from clock>]
     [-fall_from <fall from clock>]
     [-to <to clock>]
     [-rise_to <rise to clock>]
     [-fall_to <fall to clock>]
     [-setup | -hold]
     <uncertainty value>

表 1 介绍了 set_clock_uncertainty 命令的每个选项。