VHDL:加法器/减法器

author-image

作者

此示例介绍了 VHDL 中的两个输入参数化加法器/减法器设计。该设计单元多路复用利用 addnsub 输入进行加法和减法运算。合成工具会检测 HDL 代码中的加法和减法单元,该代码会分享输入,其输出由通用信号进行多路复用。软件推理 lpm_addsub 宏功能,用于此类加法/减法设计。

图 1.加法器/减法器顶层图表。

下载本示例中使用的文件: