Verilog HDL:双时钟同步 RAM

author-image

作者

此示例介绍了 Verilog HDL 中具有不同的读写地址的 64 x 8 位双时钟同步 RAM 设计。合成工具能够在 HDL 代码中检测双时钟同步 RAM 设计,并自动根据目标设备的架构推断是 altsyncram 还是 altdpram 宏功能。

图 1.双时钟同步 RAM 顶层图表。

下载本示例中使用的文件:

该设计的使用受英特尔® 设计示例许可协议中条款和条件的管理和约束。

表 1 列出了双时钟同步 RAM 设计的端口。