Sigma-Delta 转换器

建议用于:

  • 设备:不详

  • Quartus®:v9.1

author-image

作者

此设计示例是一种经济高效的高精度模数转换器 (ADC),常用于无线和音频应用,由两个主要模块组成:模拟调制器和数字滤波器。模拟调制器对模拟信号进行过采样并将其转换为比特流。数字滤波器然后通过抽取操作将串行流转换为数位数字。

此设计示例展示了一种高效且具有成本效益的方法,通过多级分区方法实施数字抽取滤波器,并使用 DSP Builder Advanced Blockset 中的时分复用 (TDM) 功能实现高速性能和低资源用法。

图 1 显示了带有模拟调制器的 Sigma-Delta ADC 的框图,该调制器使用 Simulink 模块和数字抽取滤波器(通过 DSP Builder 模块实施)建模。

图 1.Sigma-delta ADC 框图。

下载本示例中使用的文件:

该设计的使用受英特尔® 设计示例许可协议中条款和条件的管理和约束。

规格

表 1 列出了用于设计数字抽取滤波器的规范。

相关链接

有关您项目中此设计示例中使用的相关功能的更多信息,请访问: