Avalon® 内存映射主模板

author-image

作者

提供的模板包含捆绑为 SOPC Builder 就绪组件的 Avalon 内存映射 (MM) Verilog 模块。该组件能够访问内存并公开一个简单的界面,您可以使用自己的自定义逻辑进行访问。该组件是可参数化的,让您可以权衡面积和性能优化的功能。您可以将这些组件与 SOPC Builder 支持的任何英特尔® 设备系列一起使用。该组件基于 Verilog,因此您可以添加自己的自定义逻辑来创建独立的组件。只需使用 SOPC Builder 中提供的组件编辑器,即可根据主模板 Verilog 文件和您自己的源文件创建新组件。为便于使用,该组件使用 Tcl 回调,让您可以在 GUI 环境中自动进行设置更改。

系统互连结构支持突发和非突发传输,因此提供了各种专用组件。选择最适合系统中内存类型的组件设置,以避免生成过多的逻辑。表 1 根据您的系统要求概述了要使用的组件。

该组件公开了控制和数据接口,供您连接到您的自定义逻辑(参见图 1)。使用控制接口指定诸如内存地址、传输长度和握手信号等信息。数据接口使用简单的发送和确认协议,向或从主要内部缓冲区提供数据。

图 1.读取主要组件。

图 2.写入主要组件。

读取和写入主机共享相同的接口类型和信号。唯一的例外是突发主机需要额外的突发计数信号。该突发计数信号通知系统互连结构和存储器将进行多少次顺序访问。英特尔建议您将突发主要组件​​配置为使用与系统中内存的最大突发长度相等的最大突发长度。要了解有关建议设计实践的更多信息,请参阅嵌入式设计手册 (PDF)

使用此设计示例

下载 Avalon-MM 主模板(.zip 文件)

下载 Avalon-MM 主模板自述文件(.txt 文件)

该设计的使用受英特尔® 设计示例许可协议中条款和条件的管理和约束。

.zip 文件包含重现示例的所有必要硬件文件,以及一个 Avalon_MM_Primaries_Readme.pdf 文件Avalon_MM_Primaries_Readme.pdf 文件包含关于在您自己的设计中使用模板的详细信息。