文章 ID: 000086818 内容类型: 故障排除 上次审核日期: 2021 年 08 月 27 日

** 错误(可抑制):(vsim-8604)。。/../ip/ed_sim/ed_sim_dut/altera_amm_effmon_191/sim/altera_amm_effmon_single_top.sv(246):NaN(不一个数字)是部门运营的结果

环境

  • 英特尔® Quartus® Prime Pro Edition
  • 内存接口和控制器
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    说明

    由于英特尔® Quartus® Prime 专业版软件版本 21.1 及更早版本出现问题,您在仿真 Mentor Graphics ModelSim* 模拟器时可能会看到此错误。

     

     

    解决方法

    这一问题已在英特尔® Quartus® Prime Pro Edition 软件版本 21.2 及更高版本中解决。

    相关产品

    本文适用于 1 产品

    英特尔® Agilex™ 7 FPGA 和 SoC FPGA

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。