文章 ID: 000085704 内容类型: 故障排除 上次审核日期: 2021 年 08 月 28 日

为什么在 Quartus® II 软件版本 4.1 和 4.1 SP1 中生成的计数器的控制逻辑?

环境

  • 重置
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    说明

    如果满足以下条件,控制逻辑会由于 Quartus® II 软件版本 4.1 和 4.1 SP1 的一个问题而错误生成:

    • 您在合成过程中用 HDL 代码推断出lpm_counter兆功能或lpm_counter兆功能
    • 设计中的计数器使用异步预设和同步清除信号
    • 您编译了针对Stratix®、StratixGX、Cyclone®、MAX® II 或 HardcopyStratix设备的设计

    错误行为是由于 lpm_counter宏功能的开箱式实施出现问题导致的。为了避免问题,如果您的设计中有一个计数器,该计数器使用异步预设和同步清除信号,请将 lpm_counter.tdf 文件从Quartus II 安装目录>\libraries\megafunctions 目录复制到您的项目目录。

    这个问题从 Quartus® II 软件 4.2 版开始修复。

    以下是在 Quartus II 软件版本 4.1 和 4.1 SP1 中错误实施的代码示例。在此示例中, clr 信号在最终实施中被删除。

    process (clk, clr, preset) is
    
    begin
    
       if (preset = '0') then
    
          counter  '1');
    
       elsif rising_edge(clk) then
    
          if (clr = '1') then
    
             counter  '0');
    
          else
    
         counter 
    
    

    相关产品

    本文适用于 1 产品

    Stratix® FPGAs

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。