文章 ID: 000085320 内容类型: 故障排除 上次审核日期: 2021 年 08 月 27 日

我是否可以将 LogicLock 设计方法与Mercury设备结合使用?

环境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
说明 Quartus 不支持此功能® II 软件,版本 1.1。

Quartus® II 软件 2.0 及更高版本可提供面向Mercury家族的 LogicLock 方法支持。

相关产品

本文适用于 1 产品

Mercury™

本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。