文章 ID: 000084823 内容类型: 错误讯息 上次审核日期: 2021 年 08 月 28 日

错误:<altera_pll file="" name="" variation="">:指定的配置会使电压控制振荡器 (VCO) 超越极限。</altera_pll>

环境

  • 英特尔® Quartus® II 订阅版
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    说明

    由于 Quartus® II 软件版本 12.1 出现问题,使用 Enable 物理输出时钟 参数选项手动设置 M 和 N 计数器值时,Altera_PLL MegaWiandroidd™ 插件管理器可能会看到此错误,即使 VCO 频率应在设备支持的操作范围内。如果产生一个大于 255 的 M 计数器值和 N 计数器值 1 的 Altera_PLL,便会出现此问题。

    解决方法

    如果您需要 256 或更高的 M 计数器值和 N 计数器值 1,则需要执行以下步骤才能实现您 Altera_PLL 所需的设置:

    1. 在 Altera_PLL 宏功能中输入您所有所需的参数,有以下两个例外:
      1. 输入 参考时钟频率 值相当于实际参考时钟频率的两倍。
      2. 输入 分压因子(N-Counter)的 2 值。
        (使用实际时钟频率的 N 值 2 和参考时钟频率的两倍,MegaWitherd 插件管理器将能够生成 Altera_PLL 的法律设置)。
    2. 单击 完成,创建 megafunction 变体文件。
    3. 打开 _0002.v 由 Altera_PLL MegaWi replicated 插件管理器创建的文件。 此文件位于您的项目在 文件夹。 对以下参数进行必要的修改:
      1. 找到 .reference_clock_frequency 参数。 此值将为您所需的时钟频率的两倍。 修改值以等于实际的参考时钟频率。
      2. 找到 .n_cnt_bypass_en 参数,并将值从"错误"更改为"true"。
    4. 保存并关闭_0002.v。
    5. 如果您要执行 Altera_PLL 超级功能的功能模拟,请在位于项目目录中_sim文件夹中的.vo文件中,从上一步第 3 步对参数进行相同的更改。

    此问题计划在 Quartus II 软件的未来版本中解决。

    相关产品

    本文适用于 16 产品

    Cyclone® V SX SoC FPGA
    Cyclone® V GT FPGA
    Stratix® V GX FPGA
    Cyclone® V GX FPGA
    Stratix® V GT FPGA
    Stratix® V GS FPGA
    Arria® V GZ FPGA
    Arria® V SX SoC FPGA
    Cyclone® V ST SoC FPGA
    Arria® V ST SoC FPGA
    Acex® 1K
    Arria® V GX FPGA
    Arria® V GT FPGA
    Cyclone® V E FPGA
    Stratix® V E FPGA
    Cyclone® V SE SoC FPGA

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。