文章 ID: 000083860 内容类型: 故障排除 上次审核日期: 2021 年 08 月 28 日

该LPM_MULT功能的总端口能否在Stratix设备数字信号处理 (DSP) 模块中实施?

环境

  • DSP
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    说明 如果您使用的是总和端口 LPM_MULT 函数,结果将是: (dataa*datab) sum.

    该乘法将在 DSP 模块中实施,但该附加乘法将使用逻辑单元来实现。DSP 模块中的加法器单元只能由 DSP 模块中的乘法器单元馈送,而不能由外部逻辑馈送。

    相关产品

    本文适用于 1 产品

    Stratix® FPGAs

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。