文章 ID: 000080540 内容类型: 产品信息和文件 上次审核日期: 2021 年 08 月 27 日

如何约束 ALTUFM 宏功能的操作系统输出引脚?

环境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
说明

要在 osc ALTUFM_NONE、ALTUFM_I2C、ALTUFM_SPI 和 ALTUFM_PARALLEL 兆功能上约束可选输出引脚,使用以下任一方法:

  • 使用命令自动限制时钟 derive_pll_clocks 。注意,除了为 PLL 输出创建生成的时钟外, derive_pll_clocks 命令还创建内部生成的时钟限制。
  • 使用以下命令手动限制时钟:
    create_clock -period 181.818 -name |osc
    • 尽管实际输出频率可能会有所不同,但将 osc 引脚限制为 181.818 ns (5.5 MHz) 可确保以尽可能小的可能周期(最大可能频率)分析时钟。

相关产品

本文适用于 3 产品

MAX® II CPLD
MAX® II Z CPLD
MAX® V CPLD

本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。