文章 ID: 000079541 内容类型: 产品信息和文件 上次审核日期: 2021 年 08 月 28 日

如何在 Quartus II Web 版软件中启用 SignalTap II 逻辑分析器?

环境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
说明

SignalTap® II 逻辑分析器仅在启用对话功能的情况下在 Quartus® II Web 版中可用。

 

要在 Quartus II GUI 中启用对话功能,请按照以下步骤操作:

  1. 在 Tools 菜单上, 选择 选项
  2. 在 Options 窗口中,选择 互联网连接
  3. 在 Internet Connectivity 对话窗口中,单击 对话选项
  4. 在"对话选项"窗口中,启用 Quartus® II 软件对话功能 

要启用 Quartus II GUI 之外的对话功能,请按照以下步骤操作:

  1. 浏览到 Quartus® II 软件安装目录(版本 1.1 或更高版本)。
  2. Quartus® II 安装目录>/bin文件夹中,执行qtb_install.exe。
  3. 启用 选项 卡中,请阅读《对话功能声明》。
  4. 用 打开 Quartus® II 软件对讲功能, 然后单击确定

本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。