文章 ID: 000079458 内容类型: 故障排除 上次审核日期: 2021 年 08 月 28 日

Qsys 和 SOPC Builder 是否支持 VHDL 2008?

环境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
说明

SOPC Builder 和 Qsys 中的组件编辑器存在一个已知的限制。它不允许用户指定用于 VHDL 源文件分析的语言版本。

为解决此问题,在自定义组件 VHDL 文件顶部添加以下评论行。

-- altera vhdl_input_version vhdl_2008

此指令指示前端为文件的剩余部分使用 VHDL 2008。

有关 Quartus II 支持的 IEEE Std 1076-2008 版本中定义的 VHDL 2008 结构的信息,请参阅关于 VHDL 2008 支持的 Quartus II 帮助,网卡:

http://quartushelp.altera.com/current/master.htm#mergedProjects/hdl/vhdl/vhdl_list_2008_vhdl_support.htm

相关产品

本文适用于 1 产品

英特尔® 可编程设备

本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。