文章 ID: 000079430 内容类型: 故障排除 上次审核日期: 2021 年 08 月 28 日

Quartus Prime 软件是否支持 VHDL-2008 中的 IEEE fixed_pkg和float_pkg库?

环境

  • 英特尔® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    说明

    Quartus® Prime 标准版和精简版不支持 IEEE fixed_pkg和float_pkg库。

    您可以在分析和合成阶段看到以下错误消息:
    Error (10481):.vhd 的 VHDL 使用条款错误:设计库"ieee"不包含主要单元"fixed_pkg"。确认库中存在主要单元,并已成功编译。

    Error (10481):.vhd:设计库"ieee"不包含主要单元"float_pkg"。确认库中存在主要单元,并已成功编译。
     

    解决方法 Quartus Prime 专业版确实支持 IEEE fixed_pkg和float_pkg库。

    相关产品

    本文适用于 1 产品

    英特尔® 可编程设备

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。