文章 ID: 000078108 内容类型: 故障排除 上次审核日期: 2021 年 08 月 28 日

我是否可以通过引用该库在 VHDL 设计文件中直接实例化 Verilog HDL 实体?

环境

  • 英特尔® Quartus® II 订阅版
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    说明

    由于 Quartus® II 合成方面有所限制,您不能通过与库参考,在 VHDL 设计文件中直接实例化 Verilog HDL 模块。例如,您不能使用以下语法实例化 Verilog HDL 模块:

    : entity .
    解决方法

    要解决此限制问题,在实例化之前,为 Verilog HDL 模块创建组件声明。该组件可以在一个封装中或在设计中的架构部分进行声明。

    此限制计划在 Quartus II 软件的未来版本中修复。

    相关产品

    本文适用于 1 产品

    英特尔® 可编程设备

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。