文章 ID: 000077257 内容类型: 故障排除 上次审核日期: 2021 年 08 月 28 日

为什么 Quartus® II 软件不能在使用动态重新配置选项时放置所有 PLL 输出?

环境

  • PLL
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    说明 在 Quartus 中使用 PLL 重新配置选项时可能会出现此问题®II 软件,您将收到一个不适用的错误。当您生成没有动态重新配置选项的 altpll 实例时,Quartus II fitter 可能会旋转 PLL 计数器来提高路由性。当使用 PLL 重新配置时,Quartus II 不会旋转计数器,而是通过自动打开"保留计数器订单"逻辑选项来匹配该顺序。

    "保留计数器顺序"逻辑选项使 Quartus II 无法旋转计数器输出,以满足设计的可能扇出要求。例如,当未使用"保留计数器顺序"时,放置在向导中的 C0 上的时钟可能会在编译的拟合阶段旋转为 C2,以成功路由设计。

    解决这一不适用问题的最佳解决方法是首先编译设计,无需启用 PLL 重新配置功能。然后,在确定最佳计数器顺序后,修改 PLL 以与该顺序相匹配(如 PLL 使用报告中所示),然后启用 PLL-重新配置功能。然后,Quartus® II 将保留此计数器顺序,因为已启用重新配置,您将能成功满足您的设计需要。

    相关产品

    本文适用于 1 产品

    Stratix® II FPGA

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。