文章 ID: 000077160 内容类型: 故障排除 上次审核日期: 2021 年 08 月 28 日

是否可以使用内存初始化文件 (.mif) 文件更新我的 MRAM 内存,使用系统内更新内存和常数?

环境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
说明 是的。 虽然 MRAM 内存在FPGA设备中无法初始化,但您可以使用 Quartus 中的 MIF 更新内存的内容®配置设备后,II 系统内内存内容编辑器。

有关系统内更新内存和常量的信息,请参阅Quartus II 文献页面上的 Quartus II手册中第 3 卷中的系统内更新内存和常量

相关产品

本文适用于 1 产品

英特尔® 可编程设备

本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。