在英特尔® Quartus® Prime Pro Edition 软件版本 20.1 或 20.2 中,使用 JESD204C 英特尔® FPGA IP 编译设计时,您可能会看到以下某些或所有警告消息。
消息 ID | 消息文本 |
17897 | 找不到满足set_net_delay分配的目标时钟时间,从"[get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|auto_generated|delayed_wrptr_g*}]"到"[get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|auto_generated|rs_dgwp|dffpipe*|dffe*}]"。将忽略此分配。 |
332182 | 找不到满足"set_max_skew-从 [get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|auto_generated|*rdptr_g*}] 到 [get_keepers {mac_tx|j204c_tx_base_inst|j204c_tx_gearbox_inst|tx_gb[0].j204c_tx_gb_perlane_inst|tx_gb_fifo_inst|j204c_tx_dcfifo132b_m20k|dcfifo_component|auto_generated|ws_dgrp|dffpipe*|dffe*}] -get_skew_value_from_clock_period src_clock_period-skew_value_multiplier 0.800"。将忽略此分配。 |
332174 | intel_jesd204c.sdc(81) 时忽略过滤器:-组无法与时钟匹配 |
332049 | 在 intel_jesd204c.sdc (64) 中忽略create_clock:参数是一个空集 |
332054 | 分配set_clock_groups被接受,但intel_jesd204c.sdc (81): 参数组,带值 -group {j204c_txphy_clk[0]} -group {j204c_txphy_clk[1]} -group {j204c_txphy_clk[2]} -group {j204c_txphy_clk j204c_txphy_clk[3]} -group {j204c_txphy_clk[4]} -group {j204c_txphy_clk[5]} -group {j204c_txphy_clk[6]} -group {j204c_txphy_clk[7]} 无法与以下类型的任何元素匹配: ( clk ) |
332060 | 节点:j204c_txphy_clk被确定为时钟,但未进行相关的时钟分配。 |
为了解决英特尔® Quartus® Prime 专业版软件版本 20.1 或 20.2 中的 intel_jesd204c.sdc 文件中的某些行,如下所示。
仅限 simplex rx base(.../intel_jesd204c_rx_191/synth/intel_jesd204c.sdc):
更改为:
77 设置overall_clock"
78 对 { 设置 j 0} { $j < 4} { incr j} {
79 个附录overall_clock"-group {j204c_rxphy_clk[$j]} "
80 }
81 set_clock_groups -asynchronous-group {j204c_rx_avs_clk} -group {j204c_rxlink_clk j204c_rxframe_clk} $overall_clock
自
77 设置overall_clock"
78 集clock_grp""
79,用于 { 设置 j 0} { $j < 4} { incr j} {
80 个附录overall_clock"-group {j204c_rxphy_clk[$j]}
81 }
82 盘clock_grp_tmp {set_clock_groups -asynchronous-group {j204c_rx_avs_clk} -group {j204c_rxlink_clk j204c_rxframe_clk} }
83 附录clock_grp $clock_grp_tmp $overall_clock
84 eval $clock_grp
仅限 simplex tx base(.../intel_jesd204c_tx_191/synth/intel_jesd204c.sdc):
更改为:
63 个选项 {set i 0} { $i < 4} {incr i} {
64 eval {create_clock -name "j204c_txphy_clk[$i]"-期间 3.945n [get_ports j204c_txphy_clk[$i]]]
65 }
.
.
.
78 对 { 设置 j 0 } { $j < 4} { incr j} {
79 个附录overall_clock"-group {j204c_txphy_clk[$j]} "
80 }
81 eval {set_clock_groups -asynchronous -group {j204c_tx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk} $overall_clock}
自
64 eval {create_clock - 名"j204c_txphy_clk"-期间 3.945n [get_ports j204c_txphy_clk]}
.
.
.
79 设置clock_grp""
80 个附录overall_clock {-group {j204c_txphy_clk} }
81 组clock_grp_tmp {set_clock_groups -asynchronous-group {j204c_tx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk} }
82 附录clock_grp $clock_grp_tmp $overall_clock
83 eval $clock_grp
仅适用于双工基础 (.../intel_jesd204c_tx_191/synth/intel_jesd204c.sdc):
更改为:
64 create_clock名"j204c_rxphy_clk[$i]"-期间 3.945n [get_ports j204c_rxphy_clk[$i]]
65 create_clock名"j204c_txphy_clk[$i]"-期间 3.945n [get_ports j204c_txphy_clk[$i]]
66 }
.
.
.
86 设置overall_clock"
87,用于 { 设置 j 0 } { $j < 4} { incr j} {
88 个附录overall_clock"-group {j204c_rxphy_clk[$j]} -group {j204c_txphy_clk[$j]}
89 }
90 set_clock_groups -asynchronous-group {j204c_tx_avs_clk j204c_rx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk j204c_rxlink_clk j204c_rxframe_clk } $overall_clock
自
64 create_clock名"j204c_rxphy_clk[$i]"-期间 3.945n [get_ports j204c_rxphy_clk[$i]]
65 }
66 个 eval create_clock-名称"j204c_txphy_clk"-期间 3.945n [get_ports j204c_txphy_clk]
.
.
.
87 集overall_clock""
88 设置clock_grp""
89 个附录overall_clock {-group {j204c_txphy_clk} }
90 个集clock_grp_tmp {set_clock_groups -aschronous-group {j204c_tx_avs_clk} -group {j204c_txlink_clk j204c_txframe_clk} }
91 对 { 设置 j 0} { $j < 4} { incr j} {
92 个附录overall_clock"-group {j204c_rxphy_clk[$j]} "
93 }
94 盘clock_grp_tmp {set_clock_groups -asynchronous-group {j204c_rx_avs_clk} -group {j204c_rxlink_clk j204c_rxframe_clk} }
95 附录 clock_grp $clock_grp_tmp $overall_clock
96 eval $clock_grp
注意:所有时钟周期值均取决于用户选择的值。
从 英特尔 Quartus Prime Pro Edition 软件版本 20.3 开始解决这个问题。