文章 ID: 000075949 内容类型: 故障排除 上次审核日期: 2021 年 08 月 27 日

<组件名称出现 10528 VHDL 错误>{:值 0 在目标限制范围之外(1 到 2147483647)

环境

  • 英特尔® Quartus® II 订阅版
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    说明

    由于 Quartus® II 软件版本 13.0 中的问题,在合成生成 VHDL 的 Qsys 系统时可能会看到此错误。  HDL writer 传播了错误的数据类型 "正",导致 VHDL 组件声明不兼容。

    解决方法

    要在 Quartus® II 软件版本 13.0 中解决此问题:
    - 在 Qsys GUI 中选择 Verilog 进行合成

    - 编辑_hw.tcl 以获得文本编辑器中受效果的 IP,并将参数类型从"正"更改为整数

    此问题目前被计划用于 Quartus II 软件的未来版本进行修复。

    相关产品

    本文适用于 1 产品

    英特尔® 可编程设备

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。