文章 ID: 000075697 内容类型: 故障排除 上次审核日期: 2021 年 08 月 28 日

为什么我会看到一条消息:"avalon_master.writedata 和 avl.avl_wdata 之间的连接必须是[8,16,32,64,128,256,512,1024] 与带有 UniPHY 的 DDR2(3) SDRAM 控制器的宽度?

环境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
说明

如果在 Qsys 中实施的配备 UniPHY 的 DDR2(3) SDRAM 控制器,则配置为不使用 2 Avalon® 数据总线,在 DDR2(3) 控制器的主接口和从接口之间只能建立一个连接。如果您将 2 个大师连接到 DDR2 (3) SDRAM 控制器,您将看到上述消息。

如果需要在 DDR2(3) 控制器上与Avalon从接口连接多个连接,则将大师的写入和读取数据信号的大小提高到更高的功率 2,并在 DDR2(3) 控制器上实现主连接与从接口之间实施简单的总线垫圈(自定义组件)。垫圈只需传递所有信号,只不过写入和读取数据。

对于写入数据,垫圈只能通过所需的数据位数量。例如,如果所需的内存接口是 72 位,则半速率控制器的数据宽度将是 288。主处理器将数据总线舍入到 512 位,然后用 224 '0's 填充写入数据,而垫圈则只需通过所需的 288 位。

-- 通过垫圈的主接口将所需的数据位发送到 DDR

avm_m0_writedata

如需读取数据,垫圈将以"0"填充前 288 位。

-- 创建一个填充常量

恒定PAD_DATA:std_logic_vector(287 下达 0):=(其他 =>'0');

-- 通过垫圈的从接口将读数据发送给主

avs_s0_readdata

 

相关产品

本文适用于 1 产品

英特尔® 可编程设备

本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。