文章 ID: 000074903 内容类型: 故障排除 上次审核日期: 2021 年 08 月 28 日

为什么 PLL 重新配置会在时钟输出上为我提供错误的结果?

环境

BUILT IN - ARTICLE INTRO SECOND COMPONENT
说明 从 Quartus® II 软件版本 4.2 开始,fitter 可能会路由来自不同版本的 PLL 输出时钟 计数器比设计人员指定的计数器,以改善布线。 例如,一个在设计中连接到端口 C0 的时钟可能无法连接到 C0 计数器(可能因为可能已路由到 C2 计数器) 提高设计路由能力)。 在这种情况下, 用于 PLL 重新配置的 PLL 扫描链文件可能不针对正确的计数器。 该文件可以按照设计程序的计划重新配置 C0 计数器, 但是 C2 计数器是连接到输出时钟的计数器。 然后,C2 时钟将被重新配置为与预期不同的设置,从而可能发生不可预测的结果。

设置 PRESERVE_PLL_COUNTER_ORDER 逻辑选项以打开 该 PLL。 或者,设计人员可以检查 编译报告文件中的 PLL 使用情况,并调整重新配置扫描链文件以实现 由 Quartus II 选择的计数器。

相关产品

本文适用于 1 产品

Stratix® II FPGA

本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。