Intel® Stratix® 10通用I/O用户指南

ID 683518
日期 7/09/2018
Public
文档目录

1. Intel® Stratix® 10 I/O概述

所作的更新针对于:
Intel® Quartus® Prime设计套件 18.0
本翻译版本仅供参考,如果本翻译版本与其英文版本存在差异,则以英文版本为准。某些翻译版本尚未更新对应到最新的英文版本,请参考本翻译版本仅供参考,如果本翻译版本与其英文版本存在差异,则以英文版本为准。某些翻译版本尚未更新对应到最新的英文版本,请参考英文版本以获取最新信息。
Intel® Stratix® 10通用I/O(GPIO)系统由I/O单元(IOE)和GPIO Intel® FPGA IP组成。
  • IOE包含的双向I/O缓冲器和I/O寄存器位于LVDS I/O bank中。
  • GPIO IP核支持GPIO组件和功能,包括双倍数据速率I/O (DDIO)、延迟链、I/O缓冲器、控制信号和时钟。
  • 其中两个LVDS I/O bank与安全器件管理器(SDM)共享。
  • 对于具有硬处理器系统(HPS)的器件,其中三个LVDS I/O bank与HPS SDRAM接口共享。
  • 3 V I/O bank无I/O寄存器和DDIO功能。