文章 ID: 000085377 内容类型: 产品信息和文件 上次审核日期: 2021 年 08 月 28 日

如何在 Quartus® II 模拟波形输出中将多个信号作为单个总线查看?

环境

  • 模拟
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    说明 The Quartus®II 模拟器输出仅供显示,不可修改。但是,您可以执行以下步骤以在一个总线中一起查看信号:

    1. 将模拟结果保存为 Vector Waveform 文件(.)。
    2. 在 Quartus® II 软件中打开 这些
    3. 选择信号以作为总线的一部分,并选择 Group( 右键单击弹出菜单)。
    4. 输入 组名称Radix, 然后单击 确定

    为了避免这些步骤,并在模拟器输出中将信号作为组,在源的一个像板的一个信号中分组。您还可以使用选项Overwrite 仿真输入文件将模拟结果保存到原始的一个, 在Settings对话框的模拟器页面上提供模拟结果 (分配菜单)。

    相关产品

    本文适用于 1 产品

    Stratix® FPGAs

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。