文章 ID: 000082192 内容类型: 错误讯息 上次审核日期: 2021 年 08 月 29 日

警告 (332174):忽略过滤器 <variation name="">_p0.sdc (679):_UNDEFINED_PIN__driver_core_clk无法与时钟匹配</variation>

环境

  • 英特尔® Quartus® II 订阅版
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    说明

    当您在 Quartus® II 软件版本 12.0sp2 中使用硬核内存控制器,并将 Qsys 生成的文件或文件编译到文件夹中,而不是 variation name>_example_design/example project IP Megawiticsd 生成的文件夹,您可能会收到以下警告。

    Warning (332174): Ignored filter at _p0.sdc(679): _UNDEFINED_PIN__driver_core_clk could not be matched with a clock

    pll_driver_core_clk 是仅用于示例项目的驱动程序时钟。如果您未使用示例项目,Quartus 无法识别用户逻辑中的驱动程序时钟。这将导致警报出现。

    解决方法

    您可以安全地忽略警告,并为 PLL 参考时钟创建自己的时序限制。

    Quartus® II 软件 13.0 版解决了此问题。

    相关产品

    本文适用于 11 产品

    Cyclone® V SX SoC FPGA
    Cyclone® V GT FPGA
    Cyclone® V GX FPGA
    Arria® V GZ FPGA
    Arria® V SX SoC FPGA
    Cyclone® V ST SoC FPGA
    Arria® V ST SoC FPGA
    Arria® V GX FPGA
    Arria® V GT FPGA
    Cyclone® V E FPGA
    Cyclone® V SE SoC FPGA

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。