文章 ID: 000082147 内容类型: 产品信息和文件 上次审核日期: 2021 年 08 月 28 日

如何限制Cyclone III 和 Cyclone IV 设备中的串行闪存加载器 (SFL) IP 的计时?

环境

  • MicroBlaster™ 被动串行软件驱动程序
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    说明

    在编程串行配置 (EPCS) 设备时,Cyclone®III 和 Cyclone IV 设备中具有串行闪存加载器 (SFL) IP 的四路串行配置 (EPCQ) 设备 ,您可以使用以下内容 。SDC 限制正确的时序约束 SFL。

    请注意,您需要根据设计、配置设备和主板走线长度修改信号名称、路径和计时。

     

    #clock

    derive_clock_uncertainty

    create_generated_clock -name {altera_dclk} -source [get_ports {altera_reserved_tck}]-master_clock {altera_reserved_tck} [get_ports {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_DCLK}]

     

    #constrain JTAG 端口
    set_input_delay 时钟altera_reserved_tck 20 [get_ports altera_reserved_tdi]

    set_input_delay -clock altera_reserved_tck 20 [get_ports altera_reserved_tms]

    set_output_delay -clock altera_reserved_tck 20 [get_ports altera_reserved_tdo]

     

    #ASMI端口

    set_output_delay -add_delay -clock [get_clocks {altera_dclk}] 13.000 [get_ports {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_SCE}]
    set_output_delay -add_delay -clock [get_clocks {altera_dclk}] 8.000 [get_ports {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_SDO}]
    set_input_delay -add_delay -clock [get_clocks {altera_dclk}] 11.000 [get_ports {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_DATA0}]

     

    #Remove时钟组,按时间任务设置

    remove_clock_groups - 全部

     

    #Set错误路径

    set_false_path -从 [get_ports {altera_reserved_tck}]-到 [get_keepers {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_DCLK}]
    set_false_path - 从 [get_keepers {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|alt_sfl_enhanced:\ENHANCED_PGM:sfl_inst_enhanced|device_dclk_en_reg}] - 到 [get_ports {sfl:inst|altserial_flash_loader:altserial_flash_loader_component|\GEN_ASMI_TYPE_1:asmi_inst~ALTERA_DCLK}]

     

    解决方法

    相关产品

    本文适用于 4 产品

    Cyclone® III LS FPGA
    Cyclone® IV E FPGA
    Cyclone® IV GX FPGA
    Cyclone® III FPGA

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。