文章 ID: 000081579 内容类型: 故障排除 上次审核日期: 2021 年 08 月 29 日

PCI Express 的 PHY IP 核固定clk 不正确定义 (PIPE)

环境

  • 英特尔® Quartus® II 订阅版
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    关键问题

    说明

    fixclkPHY 中版本 11.1 的定义 收发器面向 PCI Express 的 IP 核 (PIPE) 章节 PHY IP 核用户指南,规定必须连接 至一个单独的、免费的运行时钟输入源。但是,这两个单独的 时钟是不必要的。您可以 fixedclkpll_ref_clk .

    解决方法

    无需变通方法。此问题已在版本中修复 12.0 的 收发器 PHY IP 核用户指南

    相关产品

    本文适用于 1 产品

    Stratix® V FPGA

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。