文章 ID: 000081088 内容类型: 故障排除 上次审核日期: 2021 年 08 月 29 日

Arria V 和 Arria V SoC Core-to-Periphery (C2P) 时序不匹配

环境

  • 英特尔® Quartus® II 订阅版
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT
    说明

    Core-to-Periphery (C2P) 数据路径的子集存在时序模型不匹配,这些路径可能导致对受影响路径中设置低、设置松弛的设计,其FPGA输出不正确。

    这将影响Arria® V 和 Arria V SoC 设计(不包括Arria V GZ 设备),使用受影响的输出引脚在顶部和/或底部 I/O 条。

    此问题不影响 Periphery-to-Core (P2C) 传输、右侧 I/O 组、收发器和硬内存控制器。

    解决方法

    检查设计中使用受影响的引脚
    如果您的设计目标Arria V 或Arria V SoC 设备(不包括Arria V GZ 设备),请参阅 ArriaV_PinList Excel 文件 查看红色文本中指出受影响的引脚列表。如果您的设计使用任何受影响的引脚,请使用可用的时序模型补丁重新运行时序分析,以反映设计中的实际时序余量,如下所述。

    在更新的软件版本中重新运行时序分析
    如果您的设计目标Arria V 或Arria V SoC 设备(不包括Arria V GZ 设备),或者您在调试与时序相关的问题,请使用可用的时序模型补丁来重新运行时序分析:

    1. 备回设计数据库。
    2. 在早期的 Quartus® II 软件版本中打开设计,然后导出数据库。在"项目"菜单上,单击 导出数据库。当您收到提示时,将数据库导出到建议的export_db目录中。
    3. 使用已安装的时序模型补丁启动 Quartus II 软件。
    4. 打开项目。当提示您是否覆盖较旧的数据库版本时,单击 \'是',并从export_db目录中导入数据库。
    5. 在设计上运行 TimeQuest 时序分析器。
    6. 如果存在时序违规,请与时序模型补丁重新编译,以关闭设计中的时序。

    改进时序收敛(UniPHY 四分之一速率 DDR3)的步骤
    为改进Arria V 或Arria V SoC 设备上四分之一速率 UniPHY DDR3 接口的时序收敛,Altera建议立即更改外围时钟域之前的时钟域相位。请按照以下步骤操作,在使用时序模型补丁时简化时序收敛。
    1. 创建一个新文本文件,并命名为"quartus.ini"
    2. 将此文件保存到您的主目录中。以下是主目录样本,但根据您的环境变量,计算机上的示例可能不同。
      • 对于 Windows:C:\Users\
      • 对于 Linux:/home/
    3. 将以下 INI 命令插入 quartus.ini 文件中,以按指定的相值量增加设置关系。
      • uniphy_av_hr_clock_phase =

      使用的法律功能为 22.5° 的独立时尚,默认值为 360°(即要插入 quartus.ini 文件为 337.5°、315°、292.5°、270°等)。
      例如:
      • 插入 uniphy_av_hr_clock_phase=337.5 将使默认设置关系提高 22.5°。
      • 插入 uniphy_av_hr_clock_phase=315 将使默认设置关系提高 45°。
      • 插入 uniphy_av_hr_clock_phase=292.5 将使默认设置关系提高 67.5°。
      • 插入 uniphy_av_hr_clock_phase=270 将使默认设置关系提高 90°。
    4. 重新生成 UniPHY IP,重新编译设计并确保时序收敛。

    改进时序收敛 (LVDS Tx) 的步骤
    为改进Arria V 或Arria V SoC 设备上 LVDS Tx 的时序收敛,Altera建议立即更改外围时钟域之前的时钟域相位。按照以下步骤操作,使用时序模型补丁* 简化时序收敛。

    1. 创建一个新文本文件,并命名为"quartus.ini"
    2. 将此文件保存到您的项目目录中。
    3. 在 quartus.ini 文件中插入以下 INI 命令,以打开移相功能。默认情况下,这会将传输的设置关系提高 400ps。
      • av_lvds_c2p_sclk_phase_shift_en = on

    4. 删除项目中的 db 和 incremental_db 目录,重新编译设计并确保时序收敛。
    5. 如果使用上述命令后无法满足时序,请尝试通过在相同的 quartus.ini 文件中添加以下命令来使用其他相移值,并重复步骤 4。
      • av_lvds_c2p_sclk_phase_shift =

    注:相值为 ps,不得包含在 ini 变量中。

    要更新时序模型,下载并安装适合您 Quartus II 软件版本的补丁。

    时序模型更新将包括 Quartus II 软件的版本 15.0 Update 2。

    相关产品

    本文适用于 4 产品

    Arria® V GT FPGA
    Arria® V GX FPGA
    Arria® V ST SoC FPGA
    Arria® V SX SoC FPGA

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。