文章 ID: 000076130 内容类型: 故障排除 上次审核日期: 2021 年 08 月 28 日

使用 Riviera-PRO 进行 Arria 10 EMIF IP 的 VHDL 模拟可能在某些情况下无法进行进度

环境

  • 英特尔® Quartus® Prime Pro Edition
  • BUILT IN - ARTICLE INTRO SECOND COMPONENT

    关键问题

    说明

    此问题将影响 Arria 10 设备上所有受支持的外部内存协议。 如果您的设计使用具有Altera EMIF 总线功能的 VHDL 模拟模型 型号,使用 2015.06 年更早版本的 Riviera-PRO 进行模拟可能会无法 进展。

    解决方法

    此问题的变通办法如下:

    • 使用 Verilog 而不是 VHDL 进行模拟。此问题仅在 VHDL。
    • 使用 Riviera-PRO 以外的其他仿真器。此问题仅发生 和 Riviera-PRO 合作。

    此问题将在将来的版本中修复。

    相关产品

    本文适用于 1 产品

    英特尔® Arria® 10 FPGA 和 SoC FPGA

    本页面上的内容是原始英文内容的人工翻译与计算机翻译的组合。我们提供此内容是为了您的便利并且仅供参考,未必完整或准确。如果本页面的英文版与翻译版之间存在任何冲突,应以英文版为准。 查看此页面的英语版本。