FPGA 配置故障排除工具

您的配置问题是什么?

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    将根据设备手册中的建议设置来连接专用 JTAG 引脚(TCK、TMS、TDO、TDI)。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定。

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略 意义
至于通过 AS 编程电缆进行直接 EPCS 编程,请检查编程电缆的电源和 EPCS 设备的接口。 如果电源或接口不稳定,Quartus® II 编程器将无法从/向 EPCS 设备读取/写入任何信息。

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本

    遇到此问题时您正采用的 EPCS 密度(例如,EPCS64 或 EPCS128)

    说明开始发生故障的时间和故障征兆。例如,EPCS 编程在编程周期开始/结束时出现故障。

    在 FPGA 结束时探查到的 nCS、DCLK 和 ASDO 信号的屏幕截图

    在执行建议的调试策略后指定您的观察结果

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    依照设备手册,MSEL 引脚与正确的 MSEL 设置相关联

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS 和 CONF_DONE 引脚。如果需要上拉/下拉电阻器,请确保电阻值正确

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

    将满足所有时序规格

    将使用受支持的闪存设备

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略 意义
下载最新版本的 Quartus® II 软件。重新生成编程文件并重新配置 FPGA,或使用新的编程文件进行重新编程并验证闪存 最新 Quartus II 软件可能具有缺陷修复
检查 DCLK 和 DATA 线路/总线信号的信号完整性 线路/总线上的噪音会中断配置过程并导致数据损坏。如果数据在配置期间损坏,FPGA 会检测配置错误并将 nSTATUS 引脚拉低
在 Quartus II 软件中启用 INIT_DONE 选项,然后检查 INIT_DONE 引脚以确保设备退出初始化阶段 如果在 CONF_DONE 引脚释放上拉后 CONF_DONE 仍然较低,设备将无法退出初始化阶段。如果启用了 CLKUSR 选项,请确保已如设备手册中所述通过 CLKUSR 引脚提供了足够的时钟周期,否则设备将无法退出初始化阶段。如果在 CONF_DONE 引脚释放上拉后 INIT_DONE 上拉,则设备已成功进入用户模式。

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本

    遇到此问题时您正使用的 FPGA 部件编号

    在 FPGA 结束时探查到的 nCONFIG、nSTATUS、DCLK 和 DATA 线路/总线信号的屏幕截图

    指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    在执行建议的调试策略后指定您的观察结果

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    MSEL 引脚与 VCC 或接地相关联。不要悬空 MSEL 管脚。

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS CONF_DONE 和专用 JTAG 引脚(TCK、TMS、TDO、TDI)。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略 意义
下载最新版本的 Quartus® II 软件。重新生成编程文件,然后使用新的编程文件重新配置 FPGA。 最新 Quartus II 软件可能具有缺陷修复。
检查专用 JTAG 信号的信号完整性 线路/总线中的噪音会中断配置过程并导致数据损坏。如果数据在配置期间损坏,FPGA 会检测配置错误并将 nSTATUS 引脚拉低。
在 Quartus II 编程器中执行自动检测或程序指令之前,请确保已释放上拉 nCONFIG 和 nSTATUS 引脚 如果未释放上拉 nCONFIG 和 nSTATUS 引脚,则设备仍处于重置状态,或者设备未正确通电。因此,设备未准备就绪,无法接收任何 JTAG 指令,包括芯片 ID 检查指令
检查编程电缆与目标设备的连接 如果编程电缆与目标设备之间的连接不稳定,这两台设备间的信号/数据损坏会导致 FPGA 无法接收来自主机的有效 JTAG 指令

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本和消息窗口中显示的错误消息

    遇到此问题时您正使用的 FPGA 部件编号

    指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    在执行建议的调试策略后指定您的观察结果

您使用的是哪种配置方案?

被动串行 (PS)

    核对清单

    在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    依照设备手册,MSEL 引脚与正确的 PS 设置相关联

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS 和 CONF_DONE 引脚。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

    确保满足所有时序规格

    调试策略

    下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

    策略意义:在 Quartus® II 软件中启用 INIT_DONE 选项,并检查 INIT_DONE 引脚,以确保设备退出初始化阶段;如果在 CONF_DONE 引脚释放上拉之后 INIT_DONE 仍然较低,设备将无法退出初始化阶段。如果启用了 CLRUSR 选项,请确保已如设备手册中所述通过 CLKUSR 引脚提供了足够的时钟周期,否则设备将无法退出初始化阶段。如果在 CONF_DONE 引脚释放上拉后 INIT_DONE 上拉,则设备已成功进入用户模式。如果 CONF_DONE 未上拉,请探查 DCLK 和 DATA 信号。在 Quartus II 编程器上单击开始按钮后观察两个信号,如果这些信号仍然较低,则尚未将程序指令正确发布到 FPGA。

    如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本

    遇到此问题时您正使用的 FPGA 部件编号

    在 FPGA 结束时探查到的 nCONFIG、nSTATUS、DCLK 和 DATA 信号的屏幕截图

    指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    在执行建议的调试策略后指定您的观察结果

JTAG

  • 核对清单
  • 在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。
  • MSEL 引脚与 VCC 或接地相关联。不要悬空 MSEL 管脚。

    依照设备手册中的建议设置,nCE、nCONFIG、nSTATUS、CONF_DONE 和专用 JTAG 引脚(TCK、TMS、TDO、TDI)与上拉/下拉电阻器相关联

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS、CONF_DONE 和专用 JTAG 引脚(TCK、TMS、TDO、TDI)。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

    确保满足所有时序规格

  • 调试策略
  • 下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。
  • 策略意义:在 Quartus® II 软件中启用 INIT_DONE 选项,并检查 INIT_DONE 引脚,以确保设备退出初始化阶段;如果在 CONF_DONE 引脚释放上拉之后 INIT_DONE 仍然较低,设备将无法退出初始化阶段。如果启用了 CLRUSR 选项,请确保已如设备手册中所述通过 CLKUSR 引脚提供了足够的时钟周期,否则设备将无法退出初始化阶段。如果在 CONF_DONE 引脚释放上拉后 INIT_DONE 上拉,则设备已成功进入用户模式。如果 CONF_DONE 未上拉,请探查 TDO、TDI 和 TCK 信号;如果在配置期间切换 TDO 信号时 TDI 信号仍然较低,意味着配置数据未通过 JTAG 扫描链寄存器,无法正确配置 CRAM 位。这可能是由于未正确向 FPGA 发布 JTAG 程序指令所致。
  • 如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:
  • 遇到此问题时您正使用的 Quartus II 软件版本和消息窗口中显示的错误消息

    遇到此问题时您正使用的 FPGA 部件编号

    在 FPGA 结束时探查到的 nCONFIG、nSTATUS、TDO、TDI 和 TCK 信号的屏幕截图

    指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    在执行建议的调试策略后指定您的观察结果

JTAG

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    MSEL 引脚与 VCC 或接地相关联。不要悬空 MSEL 管脚。

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS CONF_DONE 和专用 JTAG 引脚(TCK、TMS、TDO、TDI)。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

    确保满足所有时序规格

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略

意义

下载最新版本的 Quartus® II 软件。重新生成编程文件,然后使用新的编程文件重新配置 FPGA。

最新 Quartus II 软件可能具有缺陷修复。

检查专用 JTAG 信号的信号完整性

线路/总线中的噪音会中断配置过程并导致数据损坏。如果数据在配置期间损坏,FPGA 会检测配置错误并将 nSTATUS 引脚拉低。

确保没有外部设备驱动 nSTATUS 引脚

通过外部设备驱动 nSTATUS 引脚会意外将引脚拉低,这会中断配置过程

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本和消息窗口中显示的错误消息

    遇到此问题时您正使用的 FPGA 部件编号

    在 FPGA 结束时探查到的 nCONFIG、nSTATUS、TDO、TDI 和 TCK 信号的屏幕截图

    指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    在执行建议的调试策略后指定您的观察结果

主动串行 (AS)、主动并行 (AP)、被动串行 (PS)、快速被动并行 (FPP)

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略

意义

下载最新版本的 Quartus® II 软件。重新生成编程文件,然后使用新的编程文件重新编程并验证配置设备或闪存。

最新 Quartus II 软件可能具有缺陷修复。

检查 DCLK 和 DATA 线路/总线信号的信号完整性

线路/总线中的噪音会中断配置过程并导致数据损坏。如果数据在配置期间损坏,FPGA 会检测配置错误并将 nSTATUS 引脚拉低。

确保没有外部设备驱动 nSTATUS 引脚

通过外部设备驱动 nSTATUS 引脚会意外将引脚拉低,这会中断配置过程

    依照设备手册,MSEL 引脚与正确的 MSEL 设置相关联

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS 和 CONF_DONE 引脚。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

    确保满足所有时序规格

    确保使用受支持的闪存设备

    如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    1.遇到此问题时您正使用的 Quartus II 软件版本

    2.遇到此问题时您正使用的 FPGA 部件编号

    3.在 FPGA 结束时探查到的 nCONFIG、nSTATUS、DCLK 和 DATA 线路/总线信号的屏幕截图

    4.指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    5.在执行建议的调试策略后指定您的观察结果

主动并行 (AP)

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    依照设备手册,MSEL 引脚与正确的 AP 设置相关联

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS 和 CONF_DONE 引脚。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

    确保使用受支持的闪存设备/li>

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略

意义

下载最新版本的 Quartus® II 软件。重新生成编程文件,然后使用新的编程文件重新编程并验证闪存。

最新 Quartus II 软件可能具有缺陷修复。

检查 DCLK、DATA 总线和闪存控制信号的信号完整性

线路/总线中的噪音会中断配置过程并导致数据损坏。如果数据在配置期间损坏,FPGA 会检测配置错误并将 nSTATUS 引脚拉低。

确保在生成编程文件时将配置数据的字节地址设置为 0x020000。在 16 位字寻址中,默认配置引导地址为 0x010000,相当于受支持的闪存内存设备中的 0x020000 8 位字节寻址

编程文件中的地址设置不正确会导致 FPGA 从并行闪存中读取错误/无效的数据

确保没有外部设备驱动 nSTATUS 引脚

通过外部设备驱动 nSTATUS 引脚会意外将引脚拉低,这会中断配置过程

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本

    遇到此问题时您正使用的 FPGA 和闪存设备部件编号

    在 FPGA 结束时探查到的 nCONFIG、nSTATUS、DCLK 和 DATA 总线信号的屏幕截图

    指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    在执行建议的调试策略后指定您的观察结果

主动串行 (AS)

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    依照设备手册,MSEL 引脚与正确的 AS 设置相关联

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS 和 CONF_DONE 引脚。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略

意义

下载最新版本的 Quartus® II 软件。重新生成编程文件,然后使用新的编程文件重新编程并验证配置设备。

最新 Quartus II 软件可能具有缺陷修复。

检查 nCS、DCLK 和 DATA 信号的信号完整性

线路/总线中的噪音会中断配置过程并导致数据损坏。如果数据在配置期间损坏,FPGA 会检测配置错误并将 nSTATUS 引脚拉低。

确保没有外部设备驱动 nSTATUS 引脚

通过外部设备驱动 nSTATUS 引脚会意外将引脚拉低,这会中断配置过程

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本

    遇到此问题时您正使用的 FPGA 和配置设备部件编号

    在 FPGA 结束时探查到的 nCONFIG、nSTATUS、DCLK 和 DATA 信号的屏幕截图

    指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    在执行建议的调试策略后指定您的观察结果

JTAG

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    MSEL 引脚与 VCC 或接地相关联。不要悬空 MSEL 管脚。

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS、CONF_DONE 和专用 JTAG 引脚(TCK、TMS、TDO、TDI)。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

    确保满足所有时序规格

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略

意义

下载最新版本的 Quartus® II 软件。重新生成编程文件,然后使用新的编程文件重新配置 FPGA。

最新 Quartus II 软件可能具有缺陷修复。

检查专用 JTAG 信号的信号完整性

线路/总线中的噪音会中断配置过程并导致数据损坏。如果数据在配置期间损坏,FPGA 会检测配置错误并将 nSTATUS 引脚拉低。

确保没有外部设备驱动 nSTATUS 引脚

通过外部设备驱动 nSTATUS 引脚会意外将引脚拉低,这会中断配置过程

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本和消息窗口中显示的错误消息

    遇到此问题时您正使用的 FPGA 部件编号

    在 FPGA 结束时探查到的 nCONFIG、nSTATUS、TDO、TDI 和 TCK 信号的屏幕截图

    指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    在执行建议的调试策略后指定您的观察结果

被动串行 (PS)、快速被动并行 (FPP)

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    依照设备手册,MSEL 引脚与正确的 PS/FPP 设置相关联

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS 和 CONF_DONE 引脚。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

    确保满足所有时序规格

    确保使用受支持的闪存设备

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略

意义

下载最新版本的 Quartus® II 软件。重新生成编程文件,然后使用新的编程文件重新编程并验证闪存。

最新 Quartus II 软件可能具有缺陷修复。

检查 DCLK、DATA 线路/总线和闪存控制信号的信号完整性

线路/总线中的噪音会中断配置过程并导致数据损坏。如果数据在配置期间损坏,FPGA 会检测配置错误并将 nSTATUS 引脚拉低。

确保没有外部设备驱动 nSTATUS 引脚

通过外部设备驱动 nSTATUS 引脚会意外将引脚拉低,这会中断配置过程

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本

    遇到此问题时您正使用的 FPGA 和闪存设备部件编号

    在 FPGA 结束时探查到的 nCONFIG、nSTATUS、DCLK 和 DATA 线路/总线信号的屏幕截图

    指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    在执行建议的调试策略后指定您的观察结果

主动串行 (AS)

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    依照设备手册,MSEL 引脚与正确的 AS 设置相关联

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS 和 CONF_DONE 引脚。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略

意义

下载最新版本的 Quartus® II 软件。重新生成编程文件,然后使用新的编程文件重新编程并验证配置设备。

最新 Quartus II 软件可能具有缺陷修复。

检查 nCS、DCLK 和 DATA 信号的信号完整性,确保在 FPGA 与配置设备之间存在与这些信号有关的活动

线路/总线中的噪音会中断配置过程并导致数据损坏。如果数据在配置期间损坏,FPGA 会检测配置错误并将 nSTATUS 引脚拉低。

确保没有可能导致 CONF_DONE 引脚上出现延迟的电容负载或外部设备

延迟或加载 CONF_DONE 引脚会导致 CONF_DONE 无法在有效的时间窗口内提高

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本

    遇到此问题时您正使用的 FPGA 和配置设备部件编号

    在 FPGA 结束时探查到的 nCONFIG、nSTATUS、DCLK 和 DATA 信号的屏幕截图

    指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    在执行建议的调试策略后指定您的观察结果

JTAG

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    MSEL 引脚与 VCC 或接地相关联。不要悬空 MSEL 管脚。

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS、CONF_DONE 和专用 JTAG 引脚(TCK、TMS、TDO、TDI)。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

    确保满足所有时序规格

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略

意义

下载最新版本的 Quartus® II 软件。重新生成编程文件,然后使用新的编程文件重新配置 FPGA。

最新 Quartus II 软件可能具有缺陷修复。

检查专用 JTAG 信号的信号完整性

线路/总线中的噪音会中断配置过程并导致数据损坏。如果数据在配置期间损坏,FPGA 会检测配置错误并将 nSTATUS 引脚拉低。

确保没有可能导致 CONF_DONE 引脚上出现延迟的电容负载或外部设备

延迟或加载 CONF_DONE 引脚会导致 CONF_DONE 无法在有效时间窗口内提高

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本和消息窗口中显示的错误消息

    遇到此问题时您正使用的 FPGA 部件编号

    在 FPGA 结束时探查到的 nCONFIG、nSTATUS、TDO、TDI 和 TCK 信号的屏幕截图

    指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    在执行建议的调试策略后指定您的观察结果

被动串行 (PS)、快速被动并行 (FPP)

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    依照设备手册,MSEL 引脚与正确的 AP/PS/FPP 设置相关联

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS 和 CONF_DONE 引脚。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

    确保满足所有时序规格

    确保使用受支持的闪存设备

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略 意义
下载最新版本的 Quartus® II 软件。重新生成编程文件,然后使用新的编程文件重新编程并验证闪存。 最新 Quartus II 软件可能具有缺陷修复。
检查 DCLK、DATA 线路/总线和闪存控制信号的信号完整性 线路/总线中的噪音会中断配置过程并导致数据损坏。如果数据在配置期间损坏,FPGA 会检测配置错误并将 nSTATUS 引脚拉低。
确保没有可能导致 CONF_DONE 引脚上出现延迟的电容负载或外部设备 延迟或加载 CONF_DONE 引脚会导致 CONF_DONE 无法在有效的时间窗口内提高

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本

    遇到此问题时您正使用的 FPGA 和闪存设备部件编号

    在 FPGA 结束时探查到的 nCONFIG、nSTATUS、DCLK 和 DATA 线路/总线信号的屏幕截图

    指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    在执行建议的调试策略后指定您的观察结果

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    将根据设备手册中的建议设置来连接 nCE、nCONFIG 和 nSTATUS 引脚。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略 意义
检查 FPGA 与电路板表面之间的焊接触点 如果 FPGA 未正确通电或 FPGA 未成功退出 POR,将不会释放 nCONFIG 和 nSTATUS 引脚

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 FPGA 部件编号

    从通电阶段提升的电压(例如,内核电压、配置电压)的屏幕截图

    指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    在执行建议的调试策略后指定您的观察结果

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    依照设备手册,MSEL 引脚与 AS 配置设置相关联

    将根据设备手册中的建议设置来连接专用 JTAG 引脚(TCK、TMS、TDO、TDI)。如果需要上拉/下拉电阻器,请确保电阻值正确

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略 意义
确保编程电缆已通电并正确连接到 FPGA 如果电源或接口不稳定,Quartus® II 编程器将无法从/向 EPCS 设备读取/写入任何信息。
检查是否可通过 AS 编程电缆对 EPCS 设备编程。 这是为了确保 EPCS 设备的功能。如果由于硬件限制无法使用 AS 编程电缆进行测试,请跳过此步骤。
在编程 EPCS 设备之前,请确保 FPGA 中存在 SFL 映像 如果 FPGA 中不存在 SFL 网桥,Quartus II 编程器将无法访问 FPGA 中的 ASMI 接口以对 EPCS 设备编程
将 SFL 映像配置到 FPGA 之后,未经电源周期,设备会尝试在 Quartus II 编程器中执行自动检测 如果仅检测到 FPGA,这意味着 Quartus II 编程器无法通过 SFL 网桥访问 FPGA 的 ASMI 接口,或 Quartus II 编程器无法通过 ASMI 检测 EPCS 与 FPGA 之间的接口。检查这两台设备的电源和接口,或使用来自最新版本 Quartus II 软件的 SFL;如果同时检测到 FPGA 和 EPCS,这很可能是信号完整性问题。检查 DATA0、DCLK、nCS 和 ASDO 引脚的信号完整性。这些信号位置的噪音会中断 EPCS 编程过程

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本

    在 Quartus II 消息窗口中显示的错误消息的屏幕截图

    遇到此问题时您正采用的 EPCS 密度(例如,EPCS64 或 EPCS128)

    在执行建议的调试策略后指定您的观察结果

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS 和 CONF_DONE 引脚。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

    确保使用受支持的闪存设备

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略 意义
确保编程电缆已通电并正确连接到 FPGA 如果电源或接口不稳定,Quartus® II 编程器将无法从/向闪存设备读取/写入任何信息。
在对闪存设备编程之前,请确保 MAX II CPLD 或 FPGA 中存在 PFL 映像 如果 MAX II CPLD 或 FPGA 中不存在 PFL 网桥,Quartus II 软件将无法访问闪存设备
将 PFL 映像配置到 FPGA 之后,未经电源周期,设备会尝试在 Quartus II 编程器中执行自动检测 如果仅检测到 FPGA,这意味着 Quartus II 编程器无法通过 PFL 网桥访问闪存设备。检查 MAX II CPLD 或 FPGA 与闪存设备之间的电源和接口,或使用来自最新版本 Quartus II 软件的 PFL。如果同时检测到 FPGA 和 EPCS,这很可能是信号完整性问题。检查 DATA 线路/总线、DCLK、控制信号引脚的信号完整性。这些信号位置的噪音会中断闪存编程过程

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本

    在 Quartus II 消息窗口中显示的错误消息的屏幕截图

    遇到此问题时您正使用的闪存设备(例如,Numonyx 512MB、Spansion 128MB 等)

    在执行建议的调试策略后指定您的观察结果

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    依照设备手册,MSEL 引脚与正确的 MSEL 设置相关联

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS 和 CONF_DONE 引脚。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略 意义
Quartus® II 比特流生成可能导致了该问题。下载最新版本的 Quartus II 软件。重新生成编程文件并重新配置 FPGA,或使用新的编程文件进行重新编程并验证闪存 最新 Quartus II 软件可能具有缺陷修复
确保未延迟 CONF_DONE 引脚。

    确保 CONF_DONE 跟踪上没有额外的电容负载

    使用高级选项位设置来添加设备比特流末尾的填充字节

    对于 AS 配置,请使用高级选项位设置来禁用 CONF_DONE 错误检查,或更改程序长度计数

延迟 CONF_DONE 会导致设备错过 CONF_DONE 检测窗口并会发生配置错误;注意:如果禁用 CONF_DONE 错误检查,FPGA 将不会检查 CONF_DONE 是否会在有效时间窗口内正确提升。

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本

    遇到此问题时您正使用的 FPGA 部件编号

    附加未压缩和已压缩的编程文件

    说明开始发生故障的时间和故障征兆。例如,配置在编程周期开始/结束时出现故障。

    在 FPGA 结束时探查到的 nCONFIG、nSTATUS、DCLK 和 DATA 线路/总线信号的屏幕截图

    指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    在执行建议的调试策略后指定您的观察结果

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    依照设备手册,MSEL 引脚与正确的 MSEL 设置相关联

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS 和 CONF_DONE 引脚。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略 意义
Quartus® II 比特流生成可能导致了该问题。下载最新版本的 Quartus II 软件。重新生成编程文件并重新配置 FPGA,或使用新的编程文件进行重新编程并验证闪存 最新 Quartus II 软件可能具有缺陷修复
确保未延迟 CONF_DONE 引脚。

    确保 CONF_DONE 跟踪上没有额外的电容负载

    使用高级选项位设置来添加设备比特流末尾的填充字节

    对于 AS 配置,请使用高级选项位设置来禁用 CONF_DONE 错误检查,或更改程序长度计数

延迟 CONF_DONE 会导致设备错过 CONF_DONE 检测窗口并会发生配置错误;注意:如果禁用 CONF_DONE 错误检查,FPGA 将不会检查 CONF_DONE 是否会在有效时间窗口内正确提升。
确保在通过加密文件执行配置之前,设备成功进行了密钥编程 如果设备中不存在密钥,则设备无法解密加密文件
确保将同一密钥用于文件加密和设备编程 如果密钥不兼容,则设备无法解密加密文件

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本

    遇到此问题时您正使用的 FPGA 部件编号

    附加未压缩和已压缩的编程文件

    说明开始发生故障的时间和故障征兆。例如,配置在编程周期开始/结束时出现故障。

    在 FPGA 结束时探查到的 nCONFIG、nSTATUS、DCLK 和 DATA 线路/总线信号的屏幕截图

    指定您执行的是单设备还是多设备配置。对于多设备配置,请列出链中连接的设备

    在执行建议的调试策略后指定您的观察结果

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS CONF_DONE 和专用 JTAG 引脚(TCK、TMS、TDO、TDI)。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略 意义
下载最新版本的 Quartus® II 软件。重新生成编程文件,然后使用新的编程文件重新配置 FPGA 最新 Quartus II 软件可能具有缺陷修复
在执行易失性密钥编程之前,确保未使用非易失性密钥对设备编程 一旦将非易失性密钥(一次性可编程)编程到设备中,您将无法对易失性密钥编程
确保 VCCBAT 已正确通电 VCCBAT 是用于存储易失性密钥的专用电源。如果没有 VCCBAT 电源,易失性寄存器将不会通电。
在执行易失性密钥编程之前,请确保相同的设置(同一电路板、下载电缆和 Quartus II 软件版本)能够执行 JTAG 编程 如果 JTAG 编程失败,则这不是特定的易失性密钥编码故障。

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本

    遇到此问题时您正使用的 FPGA 部件编号

    在 Quartus II 消息窗口中显示的错误消息的屏幕截图

    在执行建议的调试策略后指定您的观察结果

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    将根据设备手册中的建议设置来连接 nCE、nCONFIG、nSTATUS CONF_DONE 和专用 JTAG 引脚(TCK、TMS、TDO、TDI)。如果需要上拉/下拉电阻器,请确保电阻值正确。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略 意义
下载最新版本的 Quartus® II 软件。重新生成编程文件,然后使用新的编程文件重新配置 FPGA 最新 Quartus II 软件可能具有缺陷修复
在执行易失性密钥编程之前,确保未使用非易失性密钥对设备编程 一旦将非易失性密钥(一次性可编程)编程到设备中,您将无法对易失性密钥编程
确保根据规格设置了非易失性密钥编程频率(JTAG TCK 频率) 非规范 JTAG TCK 频率会中断 poly-fuse 编程。
确保将正确的下载电缆(例如,以太网 Blaster 或 JTAG 技术)用于非易失性密钥编程。 不受支持的下载电缆将无法启用非易失性密钥编程
在执行易失性密钥编程之前,请确保相同的设置(同一电路板、下载电缆和 Quartus II 软件版本)能够执行 JTAG 编程 如果 JTAG 编程失败,则这不是特定的易失性密钥编码故障。注意:请返回配置故障排除工具初始页面以选择 JTAG 相关故障。

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本

    遇到此问题时您正使用的 FPGA 部件编号

    在 Quartus II 消息窗口中显示的错误消息的屏幕截图

    在执行建议的调试策略后指定您的观察结果

核对清单

在进一步调试问题之前,建议您使用此核对清单验证您是否遵循了设计中的建议配置设置。

    电源将根据设备产品说明拉升到适当的电压等级,并在整个运行期间保持稳定

调试策略

下表列出了一些建议的调试策略,可帮助缩小导致问题的根本原因的范围。建议您通读每项策略,然后相应地进行验证。

策略 意义
确保已在设计中启用了远程更新块 如果未启用远程更新块,您将无法使用远程更新功能
确保用户逻辑符合在 altremote_update 宏功能用户指南中指定的纲要(请参阅设备手册了解如何在设计中启用远程更新块) 切换到其他应用程序映像时,某些接口可能无法正常工作
确保为应用程序页面分配了正确的起始地址。请参阅手册和相关应用程序说明,了解有关如何分配正确的起始地址的详细信息。 如果未正确分配应用程序的起始地址,设备将无法加载适当的映像
确保将应用程序页面的起始地址正确写入到远程更新电路。使用正确的参数[2..0],为一个时钟周期断言 write_param,并在断言 write_param 之前确保 data_in 输入总线上的数据处于稳定状态。 如果未正确写入应用程序映像的起始地址,设备将无法加载适当的应用程序映像
确保至少为一个时钟周期触发了 altremote_update 的重新配置输入。请参阅手册或用户指南,获取与 altremote_update 宏功能的重新配置输入端口相关的规格(如有) 这将确保设备能够检测 nCONFIG 正边沿以启动重新配置

如果问题仍然存在,您可以通过 mySupport 联系我们的技术支持,以获取更多帮助。向 mySupport 提交服务请求之后,请提供以下信息:

    遇到此问题时您正使用的 Quartus II 软件版本

    遇到此问题时您正使用的 FPGA 部件编号

    应用程序映像起始地址写入操作时 SignalTap II 的屏幕截图

    提供给 altremote_update 宏功能的时钟频率

    在执行建议的调试策略后指定您的观察结果